FPGA--OV7725摄像头采集与VGA显示实验--1--OV7725使用与驱动协议

这篇具有很好参考价值的文章主要介绍了FPGA--OV7725摄像头采集与VGA显示实验--1--OV7725使用与驱动协议。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

目录

     

 前言

OV7725引脚及功能框图

参数指标

引脚

功能框图

SCCB时序及读写操作

 SCCB时序特点

读写实现

OV7725寄存器常用配置参数     

 前言

        摄像头采集是图像处理的第一步,本章节分为多部分,旨在让大家学会如何使用OV7725采集图像,并且使用VGA协议显示出来。主要涉及内容:

  • OV7725 通信协议实现
  • SDRAM 使用
  • FIFO 使用
  • VGA协议

 

今天主要讲第一部分:OV7725的基础知识与SCCB通信协议 

OV7725引脚及功能框图

参数指标

        OV7725最高支持640*480@60Hz分辨的视频输出,OV7725 具体的参数及特点,如下所示:

  1.  高灵敏度,适合低照度应用
  2. 具有标准的SCCB配置接口,能配置输出RAW RGB、RGB(GRB422、RGB565/RGB444)、YVA422这几种格式的视频流。
  3. 支持VGA、QVGA,以及40*30到CIF(352*288)分辨率的图像尺寸
  4. 采用VarioPixel方式实现像素子采样(隔像素采样,实现QVGA)
  5. 自动调节边缘的增强、自动调节噪声的抑制
  6. 能通过配置实现帧同步模式
  7. 自动曝光(AEC)、自动白平衡(AWB)、自动带通滤波(ABF)、自动黑电平校准(ABLC)
  8. 图像饱和度、色相、伽马、锐度、噪声抑制等可调节

引脚

        文章来源地址https://www.toymoban.com/news/detail-400527.html

FPGA--OV7725摄像头采集与VGA显示实验--1--OV7725使用与驱动协议

其中管脚功能描述: 

FPGA--OV7725摄像头采集与VGA显示实验--1--OV7725使用与驱动协议

 下面结合功能框图,向大家讲解各个引脚的功能;

功能框图

FPGA--OV7725摄像头采集与VGA显示实验--1--OV7725使用与驱动协议

  1.  第一模块:主要由SDL核SDA构成,用于配置摄像头的寄存器,设置摄像头功能。使用SCCB通信协议,类似于IIC。
  2. 第二模块:时钟与通信控制信号;XCLK为系统输入时钟,为整个系统提供参考时钟;PCLK为像素同步时钟,是输出信号,用以控制外部读取设备读取像素数据的时序。HREF、VSYNC类似于VGA协议中的行同步和场同步。RSTB为全局复位信号,低电平复位。PWDN 用于控制芯片进入低功耗模式。
  3. 第三模块:主要由感光模块组成,用于将光信号转换为数字电信号。
  4. 第四模块:主要由DSP构成,它会根据控制寄存器的配置做一些基本的图像处理运算。这部分还包含了图像格式转换单元及压缩单元,转换出的数据最终通过D0-D9 引脚输出。

SCCB时序及读写操作

 SCCB时序特点

起始信号

        

FPGA--OV7725摄像头采集与VGA显示实验--1--OV7725使用与驱动协议

         SCL(时钟控制线)为高电平时,SDA(信号控制线)出现下降沿。(按照协议来说,SCL为高时,SDA应该保持数据不变的)

终止信号

FPGA--OV7725摄像头采集与VGA显示实验--1--OV7725使用与驱动协议

      SCL(时钟控制线)为高电平时,SDA(信号控制线)出现上升沿。(按照协议来说,SCL为高时,SDA应该保持数据不变的)

有效信号

   FPGA--OV7725摄像头采集与VGA显示实验--1--OV7725使用与驱动协议

         SCL(时钟控制线)为高电平时,SDA(信号控制线)保持数据不变。SCL为低时,SDA才可以变换。

读写实现

        对于写操作--“三步写”

        第一阶段发送从设备的 ID 地址+W 标志(等于 I2C 的设备地址: 7 位设备地址+读写方向标志),第二阶段发送从设备目标寄存器的 8 位地址,第三阶段发送要写入寄存器的 8 位数据。并且每一帧之间间隔一位,任意电平。

FPGA--OV7725摄像头采集与VGA显示实验--1--OV7725使用与驱动协议

         对于读操作--“四步读”

        首先,向从器件传输设备 ID+W 标志和目的寄存器的地址,这两步也被称作两步写,用于指定读取的寄存器地址。

FPGA--OV7725摄像头采集与VGA显示实验--1--OV7725使用与驱动协议

         其次,是两步读操作;它用于读取从设备目的寄存器中的数据,在第一阶段中发送从设备的设备 ID+R 标志(设备地址+读方向标志)和自由位,在第二阶段中读取寄存器中的8 位数据和写 NA 位(非应答信号)。

        FPGA--OV7725摄像头采集与VGA显示实验--1--OV7725使用与驱动协议

OV7725寄存器常用配置参数

        FPGA--OV7725摄像头采集与VGA显示实验--1--OV7725使用与驱动协议

         第一个寄存器配置曝光量、第二个寄存器配置内部时钟、第三个寄存器配置寄存器置位、传输协议、色彩格式,等等信息。

         下一节我们会结合Verilog代码来构建一个OV7725摄像头的配置模块。

        

到了这里,关于FPGA--OV7725摄像头采集与VGA显示实验--1--OV7725使用与驱动协议的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • STM32 OV7725摄像头模块识别颜色物体(1)--HSL二值化和腐蚀中心算法,并用串口输出数据

    目录 前言 一、摄像头采集数据流程 二、如何将图像显示到电脑上  三、图像二值化 1、什么是RGB? 2、RGB565转RGB888 I、RGB565和RGB888的区别 II、代码 3、RGB转HSL I、什么是HSL  II、转换公式  III、代码 3、输出一张摄像头二值化图片 I、原理 II、代码  四、简单的物体识别 1、原理参

    2024年02月11日
    浏览(33)
  • 【正点原子FPGA连载】 第三十章双目OV5640摄像头LCD显示实验 摘自【正点原子】DFZU2EG_4EV MPSoC之嵌入式Vitis开发指南

    1)实验平台:正点原子MPSoC开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=692450874670 3)全套实验源码+手册+视频下载地址: http://www.openedv.com/thread-340252-1-1.html 双目摄像头是在一个模组上集成了两个摄像头,实现了双通道的图像采集。双目摄像头一般应用于安防监控、

    2024年02月13日
    浏览(31)
  • 【FPGA】摄像头模块OV5640

    开发板:正点原子的达芬奇开发板(或MicroPhase的Z7-Lite 7020开发板) FPGA型号:XC7A35TFGG484-2(或XC7Z020CLG400-2) Vivado版本:2020.2 参考课程链接:正点原子手把手教你学FPGA-基于达芬奇开发板 A7 OV5640模块:正点原子ATK-OV5640   OV5640是OV(OMNIVISION)公司设计的一款CMOS图像传感器,

    2024年03月21日
    浏览(36)
  • 学习笔记之STM32的ov7670摄像头实验

    目录 1. OV7670摄像头模块 1.1 OV7670 传感器内置功能模块 1.2 OV7670模块的引脚  1.3 OV7670的时序图 1.4 OV7670的分辨率及其计算 2. FIFO模块 2.1 FIFO的简介 2.2 FIFO的信号 2.3 常用的FIFO数据存储器 3. BMP编码   3.1 BMP文件的组成 3.2 BMP编码步骤 4. 摄像头实验 4.1 工作流程 4.2 主要函数 4.3

    2024年02月04日
    浏览(30)
  • 紫光同创FPGA 多路视频处理:图像缩放+视频拼接显示,OV7725采集,提供PDS工程源码和技术支持

    紫光同创FPGA 多路视频处理:图像缩放+视频拼接显示,OV7725采集,提供PDS工程源码和技术支持 “苟利国家生死以,岂因祸福避趋之!”大洋彼岸的我优秀地下档员,敏锐地洞察到祖国的短板在于高精尖半导体的制造领域,于是本着为中华民族伟大复兴的中国梦贡献绵薄之力的

    2024年02月08日
    浏览(53)
  • MIPI摄像头工程=7系列FPGA + OV5640(MIPI) + 15 分钟 + VITIS

    硬件 Spartan-7 SP701 FPGA 7系列FPGA+电阻网络实现的MIPI接口 OV5640 MIPI接口 软件 AMD Vivado 2020 版本以上 AMD Vitis 2020 MIPI 接口现在非常流行,国产FPGA目前基本都带MIPI接口,而AMD-Xilinx是从U+系列开始支持MIPI电平,从国内使用情况来看,7系列FPGA是使用最广的器件,所以这次使用的FPGA是

    2024年02月08日
    浏览(33)
  • 【正点原子FPGA连载】 第二十七章OV5640摄像头LCD显示 摘自【正点原子】DFZU2EG_4EV MPSoC之嵌入式Vitis开发指南

    1)实验平台:正点原子MPSoC开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=692450874670 3)全套实验源码+手册+视频下载地址: http://www.openedv.com/thread-340252-1-1.html OV5640是OmniVision(豪威科技)公司生产的一颗CMOS图像传感器,该传感器功耗低、分辨率高以及采集速率快,主

    2024年02月16日
    浏览(41)
  • 基于zynq7100的OV5640摄像头照相机实验,提供工程源码和技术支持

    设计框图如下: 采用Xilinx官方推荐的VDMA架构实现图像缓存和显示,除OV5640摄像头采集和HDMI输出外,其他ip均采用Xilinx官方IP实现。 这里说明一下: OV5640摄像头图像数据经VDMA三帧缓存后有2路输出 1路输出HDMI显示器显示; 1路通过FATFS文件系统写入sd卡中存放,存放的数据格式

    2024年02月03日
    浏览(28)
  • AX7A200教程(9): ov5640摄像头输出显示720p视频

    ov5640摄像头视频通过ddr3缓存后,最后使用hdmi接口进行输出显示 2.1,像头硬件管脚 如下图所示,一共18个管脚 2.2,摄像头电源初始化时序 因这个ov5640摄像头是买的老摄像头,所以需要对Reset和PWDN的电源上电进行控制,控制时序如下图所示。 2.3,电源初始化程序 其中cmos_pwd

    2024年01月23日
    浏览(40)
  • 【Qt】用QWidget显示opencv采集的摄像头图像

    本案例用QWidget容器重写paintEvent函数来显示OpenCv采集的摄像头画面,图像还可以自适应QWidget的大小,还可以检测相机断开失联的情况(可能是掉电、线被拔了等待)。在改变窗口大小时暂停显示图像,防止莫名其妙的卡顿奔溃错误!(显示图像的方式有很多种,可以用QLabel显

    2024年02月13日
    浏览(37)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包