m基于FPGA的半带滤波器verilog设计,对比普通结构以及乘法器复用结构

这篇具有很好参考价值的文章主要介绍了m基于FPGA的半带滤波器verilog设计,对比普通结构以及乘法器复用结构。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

目录

1.算法描述

2.仿真效果预览

3.verilog核心程序

4.完整FPGA


1.算法描述

        HBF模块由半带滤波器(HBF)和抽取模块组成。该模块的任务是实现2倍抽取进一步降低信号采样速率。由于HBF的冲激响应h(k)除零点外其余偶数点均为零,所以用HBF实现2倍抽取可以节省一半的运算量,对增强软件无线电的实时性非常重要,HBF还具有参数约束少,设计容易、方便的特点。半带滤波器的主要作用是滤除信号高频部分,防止抽取过程后信号发生频谱混叠。

    在实际中,需要将输入信号进行多次滤波和抽取,并逐次降低采样率,同时也降低对每一级抗混叠滤波器的要求,所以需要使用半带滤波器进行设计与实现。

阻带衰减:    ≥50dB

通带不平坦度:≤2dB

      通常情况下,半带滤波器的有三种基本的结构,一般结构,转置结构以及复用结构,下面我们将针对这三种结构的滤波效果以及硬件占用情况进行分析,从而选用最佳的设计方案。

m基于FPGA的半带滤波器verilog设计,对比普通结构以及乘法器复用结构

 m基于FPGA的半带滤波器verilog设计,对比普通结构以及乘法器复用结构

       频谱对称性的特点使得半带滤波器的时域冲击响应除极值点以外,在其余所有偶数点都为零,利用该性质,可以将运算量降低一半。

       本系统,我们将设计的滤波器,首先,我们可以使用和FIR滤波器设计方法相同的方法进行设计。 根据的设计要求,输入的信号带宽为20M,前面设计的NCO,其载波频率为20M,所以,在进行下变频的时候,会产生两倍的频率分量,具体如下所示:

m基于FPGA的半带滤波器verilog设计,对比普通结构以及乘法器复用结构

= = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = =

m基于FPGA的半带滤波器verilog设计,对比普通结构以及乘法器复用结构

        所以,需要设计一个滤波器,其截止频率可以设定为20M,即大于20M的全部滤除,所以,通过上式,可以将其中的高频分量滤除掉。

此外,由于你的要求中提高通带通带不平坦度≤2dB,那么通常情况下,滤波器的阶数需要设计为中高阶,这里,我们选用65阶的滤波器。

★半带滤波器的一般结构

      普通滤波器的结构,就是一般的FIR滤波器的结构,只是系数取一般,进行半带滤波,根据半带滤波的表达式,
m基于FPGA的半带滤波器verilog设计,对比普通结构以及乘法器复用结构

 m基于FPGA的半带滤波器verilog设计,对比普通结构以及乘法器复用结构 

这个结构式最传统的FIR滤波器的结构,我们首先来进行最简单的结构设计。

然后根据半带滤波器的性质,这里我们只需要做如下的运行进行就可以了。

★半带滤波器的复用结构

复用结构比较简单,其主要就是通过计数器来选择不同时刻的h0值,然后

其相关理论知识比较简单,下面我们将在FPGA中实现该算法。

那么这里,我们的滤波器系数为:

(0),(h15 = -624),(0),(h13 = -1175),(0),(h11 = 1238),(0),(h9  = -1238),

(0),(h7  = 667),(0),(h5  = 965),(0),(h3  = -4745),(0),(h1  = 20073),(h0 = 27316),

(h1  = 20073),(0),(h3  = -4745),(0),(h5  = 965),(0),(h7  = 667),

(0),(h9  = -1238),(0),(h11 = 1238),(0),(h13 = -1175),(0),(h15 = -624),(0)

通过一个计数器,来作为按键选择不同时间的不同系数的选择,这里,由于滤波器的系数由33个。即,计数器的值从0到32。而系数为非0的计数器值为

1,3,5,7,9,11,13,15,16,17,19,21,23,25,27,29,31

因此,在FPGA中,我们可以通过设计一个计数器进行乘法器的复用。

2.仿真效果预览

版本vivado2019.2

m基于FPGA的半带滤波器verilog设计,对比普通结构以及乘法器复用结构

m基于FPGA的半带滤波器verilog设计,对比普通结构以及乘法器复用结构

m基于FPGA的半带滤波器verilog设计,对比普通结构以及乘法器复用结构

3.verilog核心程序

...........................
 
//delay 33 units
integer i;
reg signed[15:0]men_delay[33:1];
always @(posedge i_clk or posedge i_rst)
begin
     if(i_rst)
	  begin
	       for(i=1;i<=33;i=i+1)
			 begin
			 men_delay[i] <= 16'd0;
			 end
	  end
else begin
          men_delay[1] <= i_din;
			 
	       for(i=2;i<=33;i=i+1)
			 begin
			 men_delay[i] <= men_delay[i-1];
			 end			 
     end
end
 
 
//level 1
reg signed[31:0]reg_adder01[33:1];
always @(posedge i_clk or posedge i_rst)
begin
     if(i_rst)
	  begin
	       for(i=1;i<=33;i=i+1)
			 begin
			 reg_adder01[i] <= 32'd0;
			 end
	  end
else begin
     		 reg_adder01[1] <= 32'd0;
			 reg_adder01[2] <= h15 *  men_delay[2];
			 reg_adder01[3] <= 32'd0;
			 reg_adder01[4] <= h13 *  men_delay[4];
			 
     		 reg_adder01[5] <= 32'd0;
			 reg_adder01[6] <= h11 *  men_delay[6];
			 reg_adder01[7] <= 32'd0;
			 reg_adder01[8] <= h9  *  men_delay[8];		
		
     		 reg_adder01[9] <= 32'd0;
			 reg_adder01[10]<= h7  *  men_delay[10];
			 reg_adder01[11]<= 32'd0;
			 reg_adder01[12]<= h5  *  men_delay[12];
 
     		 reg_adder01[13]<= 32'd0;
			 reg_adder01[14]<= h3  *  men_delay[14];
			 reg_adder01[15]<= 32'd0;
			 reg_adder01[16]<= h1  *  men_delay[16];
			 
//============================================================
     		 reg_adder01[17]<= h0  *  men_delay[17];
//============================================================	
		 
			 reg_adder01[18]<= h1  *  men_delay[18];
			 reg_adder01[19]<= 32'd0;
			 reg_adder01[20]<= h3  *  men_delay[20];	
     		 reg_adder01[21]<= 32'd0;
			 
			 reg_adder01[22]<= h5  *  men_delay[22];
			 reg_adder01[23]<= 32'd0;
			 reg_adder01[24]<= h7  *  men_delay[24];
     		 reg_adder01[25]<= 32'd0;
			 
			 reg_adder01[26]<= h9  *  men_delay[26];
			 reg_adder01[27]<= 32'd0;
			 reg_adder01[28]<= h11 *  men_delay[28];
     		 reg_adder01[29]<= 32'd0;
			 
			 reg_adder01[30]<= h13 *  men_delay[30];
			 reg_adder01[31]<= 32'd0;
			 reg_adder01[32]<= h15 *  men_delay[32];
			 reg_adder01[33]<= 32'd0;		 
     end
end
 
 
//level 2
reg signed[31:0]reg_adder02[9:1];
 
always @(posedge i_clk or posedge i_rst)
begin
     if(i_rst)
	  begin
	       for(i=1;i<=9;i=i+1)
			 begin
			 reg_adder02[i] <= 32'd0;
			 end
	  end
else begin
     		 reg_adder02[1] <= reg_adder01[2] + reg_adder01[32];
			 reg_adder02[2] <= reg_adder01[4] + reg_adder01[30];
			 reg_adder02[3] <= reg_adder01[6] + reg_adder01[28];
			 reg_adder02[4] <= reg_adder01[8] + reg_adder01[26];
			 
     		 reg_adder02[5] <= reg_adder01[17];
			 
			 reg_adder02[6] <= reg_adder01[10]+ reg_adder01[24];
			 reg_adder02[7] <= reg_adder01[12]+ reg_adder01[22];
			 reg_adder02[8] <= reg_adder01[14]+ reg_adder01[20];		
     		 reg_adder02[9] <= reg_adder01[16]+ reg_adder01[18];
     end
end
 
 
//level 3
reg signed[31:0]reg_adder03[5:1];
 
always @(posedge i_clk or posedge i_rst)
begin
     if(i_rst)
	  begin
	       for(i=1;i<=5;i=i+1)
			 begin
			 reg_adder03[i] <= 32'd0;
			 end
	  end
else begin
     		 reg_adder03[1] <= reg_adder02[1] + reg_adder02[9];
			 reg_adder03[2] <= reg_adder02[2] + reg_adder02[8];
			 reg_adder03[3] <= reg_adder02[3] + reg_adder02[7];
			 reg_adder03[4] <= reg_adder02[4] + reg_adder02[6];
     		 reg_adder03[5] <= reg_adder02[5];
     end
end
 
//level 4
reg signed[31:0]reg_adder04[3:1];
 
always @(posedge i_clk or posedge i_rst)
begin
     if(i_rst)
	  begin
	       for(i=1;i<=3;i=i+1)
			 begin
			 reg_adder04[i] <= 32'd0;
			 end
	  end
else begin
     		 reg_adder04[1] <= reg_adder03[1] + reg_adder03[5];
			 reg_adder04[2] <= reg_adder03[2] + reg_adder03[3];
			 reg_adder04[3] <= reg_adder03[4];
     end
end
 
//level 5
reg signed[31:0]r_dout = 32'd0;
reg signed[31:0]o_dout = 32'd0;      
always @(posedge i_clk or posedge i_rst)
begin
     if(i_rst)
	  begin
	  r_dout <= 32'd0; 
	  end
else begin
	  r_dout <= reg_adder04[1] + reg_adder04[2] + reg_adder04[3];
     end
end
	
01_115m		 

4.完整FPGA

V文章来源地址https://www.toymoban.com/news/detail-400820.html

到了这里,关于m基于FPGA的半带滤波器verilog设计,对比普通结构以及乘法器复用结构的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA 的 DSP:Verilog 中的简单 FIR 滤波器

    本项目介绍如何用 Verilog 实现一个带有预生成系数的简单 FIR 滤波器。 简陋的 FIR 滤波器是 FPGA 数字信号处理中最基本的构建模块之一,因此了解如何利用给定的抽头数和相应的系数值组装一个基本模块非常重要。因此,在这个关于在 FPGA 上入门 DSP 基础知识的实用方法迷你系

    2024年03月17日
    浏览(31)
  • FPGA 的数字信号处理:Verilog 实现简单的 FIR 滤波器

    该项目介绍了如何使用 Verilog 实现具有预生成系数的简单 FIR 滤波器。 不起眼的 FIR 滤波器是 FPGA 数字信号处理中最基本的模块之一,因此了解如何将具有给定抽头数及其相应系数值的基本模块组合在一起非常重要。因此,在这个关于 FPGA 上 DSP 基础实用入门的教程中,将从一

    2024年02月09日
    浏览(36)
  • 【Verilog 教程】7.3 Verilog 串行 FIR 滤波器设计

    串行 FIR 滤波器设计 设计说明 设计参数不变,与并行 FIR 滤波器参数一致。即,输入频率为 7.5 MHz 和 250 KHz 的正弦波混合信号,经过 FIR 滤波器后,高频信号 7.5MHz 被滤除,只保留 250KMHz 的信号。 串行设计,就是在 16 个时钟周期内对 16 个延时数据分时依次进行乘法、加法运算

    2024年02月06日
    浏览(33)
  • FPGA设计FIR滤波器低通滤波器,代码及视频

    名称:FIR滤波器低通滤波器 软件:Quartus 语言:Verilog/VHDL 本资源含有verilog及VHDL两种语言设计的工程,每个工程均可实现以下FIR滤波器的功能。 代码功能: 设计一个8阶FIR滤波器(低通滤波器),要求截止频率为20KHz,使用线性相位结构。 参数设计方法: 使用matlab软件设计滤

    2024年02月08日
    浏览(36)
  • 基于FPGA的FIR滤波器的实现(5)— 并行结构FIR滤波器的FPGA代码实现

    并行结构,并行实现滤波器的累加运算,即并行将具有对称系数的输入数据进行相加,而后采用多个乘法器并行实现系数与数据的乘法运算,最后将所有乘积结果相加输出。这种结构具有最高的运行速度,因不需要累加运算,因此系数时钟频率可以与数据输出时钟频率保持一

    2024年02月03日
    浏览(39)
  • CIC滤波器:原理、设计与FPGA开发

    CIC滤波器,全称为累积积分器滤波器(Cascaded Integrator-Comb Filter),是一种数字滤波器,通常在信号处理和通信系统中使用。本文将详细介绍CIC滤波器的原理、设计方法以及如何在FPGA上实现。 一、CIC滤波器原理 CIC滤波器由级联的积分器和组合器组成,其中积分器用于对输入信

    2024年02月04日
    浏览(37)
  • 基于FPGA的IIR滤波器的实现

    IIR滤波器原理以及架构在此不做阐述,如何从模拟滤波器到数字滤波器进行设计,可参考 https://blog.csdn.net/k331922164/article/details/117265704?spm=1001.2101.3001.6661.1utm_medium=distribute.pc_relevant_t0.none-task-blog-2%7Edefault%7EBlogCommendFromBaidu%7ERate-1-117265704-blog-123083652.235%5Ev38%5Epc_relevant_anti_t3_based

    2024年02月04日
    浏览(24)
  • Sigma-delta ADC数字抽取滤波器的verilog与MATLAB设计

           模数转换器根据采样率的不同发展为奈奎斯特(Nyquist)型和过采样(Oversampling)型两大类。奈奎斯特型ADC 采用2-3倍信号带宽的采样时钟进行采样。过采样型ADC采用过采样技术和噪声整形技术,以远高于2倍信号带宽的采样时钟进行采样,将信号中的噪声搬移到高频以

    2024年04月26日
    浏览(34)
  • Verilog实现多相滤波器

    一、多相滤波器,能够使用较低频率的时钟,实现较高数据率的数据滤波抽取。(咳咳 先正式一点) 在实际的工程应用中, 为了降低硬件实现时的数据率, 往往需要进行多相分解。采用多相滤波结构,可利用Q个阶数较低的滤波来实现原本阶数较高的滤波,而且每个分支滤波器

    2024年02月05日
    浏览(22)
  • 基于FPGA的FIR低通滤波器实现(附工程源码),matlab+vivado19.2+simulation

    本文为FPGA实现FIR滤波器仿真过程,附源代码。 提示:以下是本篇文章正文内容,下面案例可供参考 打开MATLAB在命令行窗口输入: fadtool 回车后在滤波器设计界面设置滤波器参数如下 之后点击如图标志,设置定点,在菜单栏\\\"目标(R)\\\"出选择生成对应滤波器系数.COE文件 mat

    2024年02月11日
    浏览(30)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包