[Vivado 闪退问题] Vivado 2018.3 MIG 7 series IP核 导致闪退

这篇具有很好参考价值的文章主要介绍了[Vivado 闪退问题] Vivado 2018.3 MIG 7 series IP核 导致闪退。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

[Vivado 闪退问题] Vivado 2018.3 MIG 7 series IP核 导致闪退


问题描述

使用Vivado 2018.3 对其他工程可正常综合、仿真。

win10 环境中,vivado 2018.3 版本下,创建工程中使用了 MIG 7 series IP核,Block Design中添加 MIG 7 series IP,作为DDR3 Ram,综合工程时会出现闪退问题。


原因分析:

有个日本大佬,发现是mig的prj文件多3个字节造成的。删去开头的EF BB BF这三个字节就能正常综合了
vivado在这个版本下导出bd的tcl脚本在mig那段会出现错误字符。感觉是因为windows下使用GB2312编码造成的,还是建议有能力的开发者使用Linux,在EDA这块各方面支持都比Win强多了


解决方案:

1,更换Vivado 版本为 2018.2,即可解决。
2,不想更换,修改MIG.prj文件。具体步骤:

方法2:
0)提前安装 winhex软件。 VSCode可以看到 文件的hex代码,但需要插件并且可能无法编辑,如果熟悉的话可以用VScode。

1) 打开工程所在文件夹,找到 工程名.src 文件夹,打开 :\ 工程名.src \ sources_1\bd\工程名\ip。
2)找到名为mig_7series的文件夹,有几个就找几个。我的工程中有两个。
3)分别打开,找到类型为prj的文件,我的这个文件名叫 mig_a 和 mig。
4)用winhex 打开该文件,就显示出了16进制 以及对应的文本。 会发现最开始前面有EF BB BF 或者 EF BB 3F,对应文本是 两个符号 才出现<xlinx… ,需要把这三个字符删去。使用winhex编辑功能,然后删去,保存即可。

[Vivado 闪退问题] Vivado 2018.3 MIG 7 series IP核 导致闪退
[Vivado 闪退问题] Vivado 2018.3 MIG 7 series IP核 导致闪退

5)有mig.prj的文件都需要检查一下。之后再打开Vivado进行综合和仿真,就不会闪退了。

答案参考:

韩仙男的博文 以及 炎4焱 的回答文章来源地址https://www.toymoban.com/news/detail-402642.html

到了这里,关于[Vivado 闪退问题] Vivado 2018.3 MIG 7 series IP核 导致闪退的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • VIVADO报错:[opt31-67]之MIG ip核综合失败

    博客1:添加IP核的方法错误 博客2: 模块例化时有输入端口未连接 平时我们我们正常生成ip核的操是如下的,这下的结果就会导致最终报错。报错结果如下 [Opt 31-67] Problem: A LUT5 cell in the design is missing a connection on input pin I0,… The LUT cell nameis:u_mig_7series_0/u_mig_7series_0_mig/u_memc_ui_

    2024年02月13日
    浏览(35)
  • DDR3 控制器 MIG IP 详解完整版 (VIVADO&Verilog)

    DDR系列文章分类地址: (1)DDR3 基础知识分享 (2)DDR3 控制器 MIG IP 详解完整版 (AXI4VivadoVerilog) (3)DDR3 控制器 MIG IP 详解完整版 (nativeVivadoVerilog) (4)基于 DDR3 的串口传图帧缓存系统设计实现 (5)基于 DDR3 的native接口串口局部传图缓存系统设计实现 (6)基于 DDR3 的

    2024年02月06日
    浏览(68)
  • 【FPGA】十三、Vivado MIG IP核实现DDR3控制器(1)

    文章目录 前言 一、DDR3基础知识 二、MIG  IP核的配置 三、DDR3 IP核用户端接口时序 1、DDR3 IP核接口说明 2、DDR3 IP核读写时序 ① 写命令时序:  ② 写数据时序:  ③ 读数据时序: 总结         我们在进行FPGA开发应用当中,经常会用到存储器来保存数据,常用的存储器有RO

    2024年02月16日
    浏览(64)
  • DDR3 控制器 MIG IP 详解完整版 (native&VIVADO&Verilog)

    DDR系列文章分类地址: (1)DDR3 基础知识分享 (2)DDR3 控制器 MIG IP 详解完整版 (AXI4VivadoVerilog) (3)DDR3 控制器 MIG IP 详解完整版 (nativeVivadoVerilog) (4)基于 DDR3 的串口传图帧缓存系统设计实现 (5)基于 DDR3 的native接口串口局部传图缓存系统设计实现 (6)基于 DDR3 的

    2024年02月13日
    浏览(55)
  • DDR3 控制器 MIG IP 详解完整版 (AXI4&VIVADO&Verilog)

    DDR系列文章分类地址: (1)DDR3 基础知识分享 (2)DDR3 控制器 MIG IP 详解完整版 (AXI4VivadoVerilog) (3)DDR3 控制器 MIG IP 详解完整版 (nativeVivadoVerilog) (4)基于 DDR3 的串口传图帧缓存系统设计实现 (5)基于 DDR3 的native接口串口局部传图缓存系统设计实现 (6)基于 DDR3 的

    2024年02月11日
    浏览(32)
  • Vivado闪退问题记录与解决

    一、问题状况描述:         安装的版本是2017.4,之前都用的好好的,今天打开电脑后,到工程路径下双击“*.xpr”打开Vivado工程,结果刚一打开进入到工程界面,就闪退了。         同时工程路径下多了两个文件“hs_err_pid****.log”、“hs_err_pid****.dmp”。         尝

    2024年02月11日
    浏览(26)
  • 深度解读与快速修复:应对ntdll.dll错误模块导致的程序闪退问题

    在使用Windows操作系统的过程中,遭遇ntdll.dll错误模块引发的程序闪退现象,无疑给用户带来了极大的不便。ntdll.dll作为Windows系统的核心组件之一,其功能的正常与否直接影响着系统的稳定性和应用程序的运行状况。本篇文章将详细解读ntdll.dll错误模块的成因,以及提供一套

    2024年04月12日
    浏览(23)
  • 基于WebRTC构建的程序因虚拟内存不足导致闪退问题的排查以及解决办法的探究

    目录 1、WebRTC简介 2、问题现象描述 3、将Windbg附加到目标进程上分析

    2024年02月08日
    浏览(38)
  • 关于Vivado仿真时,时钟信号初值设置方法导致仿真结果不一致的问题分析

    本文以余3码加法计数器的设计为例,说明仿真激励过程中遇到的问题,解决方法及分析。 加法器电路的实现代码如下: 仿真激励文件1的代码如下: 仿真结果如下图所示:  这里,clk信号的初值设为1,得到的仿真结果,说明了,在clk的下降沿状态会发生转移。在前10ns,由于

    2024年02月12日
    浏览(35)
  • 使用VIVADO中的MIG控制DDR3(AXI接口)一——AXI简介

            最近,因为需要,学习了AXI总线协议和DDR3相关的知识,花了很多的时间去找各种相关的资料,深刻明白查资料的不容易,这里将所学到的东西做一个总结,同时给需要的人提供一些便利,有问题的欢迎一起讨论学习、共同进步。          首先来说一下有关AXI总

    2024年02月08日
    浏览(37)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包