Vivado SDK工程创建指南

这篇具有很好参考价值的文章主要介绍了Vivado SDK工程创建指南。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一、ZYNQ核配置及硬件导出

在完成工程创建后,详见: Vivado使用指南
第一步先选择主页左侧菜单栏中的模块创建,如下图所示:
Vivado SDK工程创建指南
可自定义模块名称,然后选择ok即可。
Vivado SDK工程创建指南
Vivado SDK工程创建指南
输入zynq后,双击该IP核完成创建。
Vivado SDK工程创建指南
最后我们可以得到如下图所示模块:
Vivado SDK工程创建指南
接着双击该IP核进行设置,我们可以看到ZYNQ模块的结构框图。
Vivado SDK工程创建指南
根据开发板原理图选择对应的外设及复用引脚。
Vivado SDK工程创建指南
修改以太网电平标准为HSTL 1.8V以及传输速度为fast,以下全修改。
Vivado SDK工程创建指南
时钟部分无需更改。
Vivado SDK工程创建指南
要注意的是:zynq-7020系列的CPU最大时钟频率为767MHz。
Vivado SDK工程创建指南

DDR配置中需按开发板型号进行修改存储器单元。
Vivado SDK工程创建指南
最后点击该处进行自动运行,系统会进行相应连接。
Vivado SDK工程创建指南
完成后再进行一个cpu时钟与GP时钟的连接。
Vivado SDK工程创建指南
保存后进行一次校验。
Vivado SDK工程创建指南
右击生成HDL顶层文件,按默认继续。
Vivado SDK工程创建指南
再进行输出文件生成。
Vivado SDK工程创建指南
再导出硬件信息。
Vivado SDK工程创建指南
Vivado SDK工程创建指南

二、SDK

Vivado SDK工程创建指南
下图为SDK平台的UI界面
Vivado SDK工程创建指南
新建工程
Vivado SDK工程创建指南
Vivado SDK工程创建指南
Vivado SDK工程创建指南
Vivado SDK工程创建指南
Vivado SDK工程创建指南
可以看到我们运行程序后,打印出的“hello world”
Vivado SDK工程创建指南
Vivado SDK工程创建指南
Vivado SDK工程创建指南文章来源地址https://www.toymoban.com/news/detail-403014.html

到了这里,关于Vivado SDK工程创建指南的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 编写Tcl脚本创建整个Vivado工程并通过Git对Tcl脚本进行管理

    简介 Tcl(Tool Command Language)是一种简单易学、高效的脚本语言,可用于多种应用程序和操作系统。Xilinx Vivado是一款用于FPGA开发的综合软件平台,其内部集成了Tcl Shell,可以通过编写Tcl脚本自动化完成Vivado的工程创建和配置,从而提高工作效率和代码复用性。本文将介绍如何

    2024年02月16日
    浏览(41)
  • SuperMap Hi-Fi 3D SDK for Unreal游戏引擎创建工程报错汇总

    作者: 姜尔         同学们首次使用UE时创建工程时,总会遇到些许问题,本文为首次接触UE的同学提供参考以更好的使用到SuperMap Hi-Fi 3D SDK for Unreal插件。 目录 一、报错“尝试生成项目文件时发生错误” 1.“The required library hostfxr.dll could not be found” 【出现报错】

    2024年02月03日
    浏览(48)
  • Maven工程的安装配置及搭建(集成eclipse完成案例,保姆级教学)

    目录 一.下载及安装及环境配置 1.下载及安装  2.环境变量的配置  3.检测是否安装成功  4.配置Maven 1.更换本地仓库 2. 配置镜像 二.集成eclipse完成案例 1.eclipse前期配置Maven  2.创建Maven工程 下载地址:Maven – Download Apache Maven 1.打开后的界面,下载对应的系统及版本 注:.gz结尾

    2024年02月13日
    浏览(31)
  • Flask 项目怎么配置并创建第一个小项目?附上完成第一个小案例截图

    目录 1. 为什么要学习 flask? 2. flask 是什么? 3. flask 如何使用? 要安装 Flask,可以按照以下步骤进行: 4. 使用流程 4.1. 新建项目 4.1.1. 打开 pycharm,新建项目 4.1.2. 设置目录,并添加环境 4.1.3. 找到之前创建的虚拟环境 4.1.4. 然后点击 OK 4.1.5. 注意,项目目录和环境目录不一样

    2024年01月16日
    浏览(39)
  • 【STM32CubeIDE入门】(一)工程创建&工程配置

    如果还没安装stm32cubeide的同学可以到st官网进行下载(要登陆才能下),或者也可以下载我提供的,里面附带有汉化教程。 写在前面:如果是刚接触stm32cubeide的同学推荐与这一篇文章共同阅读,能够更加快速认识上手stm32cubeide。 1、打开stm32cubeide,选择好工作目录进入主界面

    2023年04月09日
    浏览(30)
  • Vivado硬件调试

    集成逻辑分析仪:监控逻辑内部信号和端口信号。 一、例化ILA添加调试信号 添加IP核 ​ 根据要观测信号的属性设置探针属性 创建完成 ​ 例化模板 ​ 例化; ​ 综合实现,生成bit流; 下载bit文件至开发板; ​ 设置触发条件 ​ 抓取结果 ​ 抓取信号窗口的个数 ​ 等待抓取 ​

    2024年02月04日
    浏览(25)
  • vivado导出pindelay文件

    基本语句:1.link_design -part + FPGA型号                   2.write_csv pindelay.csv 导出步骤:1.vivado建立一个空工程;                                              2.进入控制台界面tcl console;                                                              

    2024年02月11日
    浏览(38)
  • FPGA 学习笔记:Vivado 2018.2 MicroBlaze 启动 SDK

    Vivado 2018.2,配置好 MicroBlaze Uartlite等Block Design后,生成了 bin、bin文件,此时烧写到FPGA板子上,发现没有任何动静,所以需要 SDK的支持 这里使用的Vivado 2018.2,最新的 Vivado版本,如 Vivado 2020.2,启动的SDK 是 :Vitis,启动方式稍微有点不同,后面补充 Vivado 2020.2版本的操作方法

    2024年02月12日
    浏览(41)
  • PHY芯片的使用(二)使用Vivado SDK调试网络

    在使用ZYNQ或者FMQL的以太网时都需要在Vivado BD中勾选Enet0/1, 最好也勾选上UART0/1。 如果就使用这两个外设就可是直接生成bit然后导出硬件启动SDK了。 SDK建立工程中有以太网相关的工程,选用最简单的即可如下图。 使用这个工程除了选Enet还要选用串口,否则工程无法建立。 建

    2024年02月11日
    浏览(26)
  • 【FPGA】vivado下导出ILA数据

    个人笔记。 为了方便对ILA数据进行分析,可将其得到的数据导出来。 1、得到想要的ILA数据 2、右击想要分析的信号,点击Export ILA Data,出现下框:Format选择CSV,再选择保存路径    3、打开该文件即可看见相关数据    

    2024年02月11日
    浏览(35)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包