软件使用错误(警告)记录(持续更新)

这篇具有很好参考价值的文章主要介绍了软件使用错误(警告)记录(持续更新)。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。


 本博客用以记录在软件使用过程中所遇到的错误和关键性的警告,以及这些警告和错误的解决方法,方便日后查看以及能为其他遇到同样问题的人提供一个可能的解决方法。需要注意的是,此处记录的方法是根据本人遇到的问题记录的,所以在解决自己遇到的问题的时候需根据实际情况修改, 解决方式一不一定正确。本文会持续更新,欢迎遇到同样问题的朋友一起交流或是提供解决办法。
注: 时间长了可能内容有点多,可以在此界面使用快捷键 Ctrl+F搜索关键词。

一、Vivado

1 [IP_Flow 19-3153] Bus Interface ‘clk’: ASSOCIATED_BUSIF bus parameter is missing.
错误原因:自定义IP核的时候,clk这个信号没有 ASSOCIATED_BUSIF 这个属性
解决办法:添加对应属性
参考:链接
软件使用错误(警告)记录(持续更新)

2.[IP_Flow 19-3157] Bus Interface ‘rst_n’: Bus parameter POLARITY is ACTIVE_LOW but port ‘rst_n’ is not *resetn - please double check the POLARITY setting.
错误(警告)原因:信号 rst_n 设置为低有效,但是没有连接到 aresetn 。这是由于IP核打包器在设计中推断出了时钟端口或是复位端口,端口名字应该为resetn/aresetn类似,但我的命名为rst_n
解决办法:,在.v文件里(源文件)修改端口名字为aresetn即可。

3.mig IP核出现 device_temp_i[11:0]
错误(警告)原因:严格来说不算错误,mig IP核在使用的使用没有使能XDC
解决办法:使能XDC就好了
参考:Xilinx官网

4.[BD 41-1356] Slave segment </mig_7series_0/memmap/memaddr> is not assigned into address space </sirv_gnrl_icb2axi_0/o_axi>. Please use Address Editor to either assign or exclude it.
错误(警告)原因:在使用AXI接口的时候分配
解决办法:在Address Editor给使用到的AXI接口分配地址空间
软件使用错误(警告)记录(持续更新)

5.[Runs 36-527] DCP does not exist: c:/Users/NightVoyager/AppData/Roaming/Xilinx/Vivado/.Xil/Vivado-18748-DESKTOP-GU4F0FG/coregen/design_1_mig_7series_0_2/design_1_mig_7series_0_2.dcp

一加这个信号就报错
愚蠢的错误,probe2没有连接。。。。
软件使用错误(警告)记录(持续更新)

6.[Place 30-574] Poor placement for routing between an IO pin and BUFG. If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule. < set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets TestV1_i/e203_0/inst/IOBUF_jtag_TCK/O] >
TestV1_i/e203_0/inst/IOBUF_jtag_TCK/IBUF (IBUF.O) is locked to IOB_X0Y240
and TestV1_i/e203_0/inst/dut_io_pads_jtag_TCK_i_ival_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y31

解决方法:按照提示在约束文件里面添加相应约束即可。
软件使用错误(警告)记录(持续更新)

7.[Vivado 12-4739] create_clock:No valid object(s) found for ‘-objects [get_ports CLK50MHZ]’. [“C:/Users/NightVoyager/Desktop/e203ex/fpga/ddr200t/obj/ip/BDTESTV1/TestV1/TestV1.xdc”:9]
警告描述:提示没有找到 CLK50MHZ 这个管脚。但是在顶层文件里面确实声明了这个管脚,名字一样(顶层文件输入到TESTV1,TESTV1是用BD生成的,这个时钟用于输入到MMCP产生两路低速时钟)
解决办法:尝试将net同时修改为不同的名字
结果:成功解决

(奇葩的BUG)
将原来的
input CLK50MHz; //(顶层文件)

set_property -dict {PACKAGE_PIN G22 IOSTANDARD LVCMOS33} [get_ports CLK50MHz]
create_clock -period 20.000 -name sys_clk_pin -waveform {0.000 10.000} -add [get_ports CLK50MHz] //(约束文件)
改为
input clk_50MHz; //(顶层文件)

set_property -dict {PACKAGE_PIN G22 IOSTANDARD LVCMOS33} [get_ports clk_50MHz]
create_clock -period 20.000 -name sys_clk_pin -waveform {0.000 10.000} -add [get_ports clk_50MHz]

8.Cannot debug net ‘DDR3_addr[0]’; it is not accessible from the fabric routing.
错误(警告)描述:在给DDR输出管脚设置成(mark debug)(使用ILA抓取DDR的输出管脚的波形)的时候报错,提示不可以设置成debug管脚。
解决办法:暂未找到

二、ModelSim

1 Iteration limit reached at time 660 ns
问题描述:在660ns的时候,超出了迭代限制(5000次),问题出在在做浮点加法器的仿真的时候,考虑不周全,当出现0+0的情况是,状态一直锁定在MOVE
解决办法:检查修改代码,若是代码没问题可以增加迭代次数在做尝试。
出问题的代码:
软件使用错误(警告)记录(持续更新)
修改 Interation Limit的值。
软件使用错误(警告)记录(持续更新)

三、WSL

1.WSL2启动时提示:参考的对象类型不支持尝试的操作
问题描述:在安装WSL然后其自动安装Ubuntu的时候,在创建用户要求输入用户名时报错 参考的对象类型不支持的操作
问题原因:某些加速器,VPN的socket端口与WSL的端口有冲突导致
解决方法:参考博客参考的对象类型不支持尝试的操作文章来源地址https://www.toymoban.com/news/detail-404272.html

到了这里,关于软件使用错误(警告)记录(持续更新)的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Altium Designer(AD)软件记录01-快捷键的使用

    1、执行“Cstomize”命令,则会弹出所有快捷键设置对话框; 2、按住Ctrl,鼠标左键点击需要设置快捷键的命令,即可弹出对话框,进行设置。 F1 帮助 F2 走线(自己定义的快捷键) F3 查找文本(自己定义的快捷键) F4 设置栅格大小(自己定义的快捷键) F5 线选(自己定义的

    2024年02月16日
    浏览(30)
  • Altium Designer(AD)软件使用记录04-AD设计文件输出汇总

    方法一,分别给每个层放置一个字符串,写上层的名字即可,这样也行,就是麻烦点。 方法二,利用自带的代码功能,快速实现给层标注名称。 放置一个字符串,使用.Layer_Name, 利用特殊粘贴EA到每一层即可 放置---------》钻孔表 放在空白区域即可 原点一般设置在左下角,

    2024年02月06日
    浏览(34)
  • 记录工作项目中使用的插件(持续更新中)

    1.HighLightingSystem 用于3D物体高亮显示 在项目中的使用:导入插件后在需要高亮显示的3d物体上附加Highlighter组件,在需要显示高亮效果的摄像机上附加Highlighting Renderer组件。在代码中调整Highlighter属性即可控制物体高亮效果的开关、闪烁。 使用场景:提示玩家点击,或鼠标进入

    2024年02月05日
    浏览(33)
  • Altium Designer(AD)软件使用记录09-PCB布线部分之层叠设置、 规则设置

    链接: Altium Designer(AD)软件使用记录05-PCB叠层设计 链接: 如何快速判断PCB设计层数 规则设置(快捷键DR) 1、间距规则 自己根据生产工艺和PCB板子的情况设置各种间距: 2、短路规则 3、开路规则 1、走线宽度规则 2、过孔规则 常用的过孔: 8mil/16mil(0.2mm/0.4mm) 10mil/20mil(0.25m

    2024年02月08日
    浏览(50)
  • 记录在苹果mac os系统上使用51单片机仿真软件Proteus

    1.安装Wineskin shell 指令 2.安装Wrapper 点击update ​​​​​​​ 首先我们需要安装一个程序: 可以将在Windows系统上才能运行exe文件打包为mac系统可执行的文件。 下载后,可以在启动台或者下载目录看到 Wineskin Winery 的图标,点击启动该软件。  No Wrapper Installed   暂时无法解决

    2024年02月06日
    浏览(48)
  • ROS仿真软件Turtlebot-Gazebo的安装使用以及错误处理[机器人避障]

            很多时候由于机器人价格比较贵,而且会因为环境因素、操作失误或者摔坏等,所以我们可以先在仿真软件上做测试,也可以避免这些问题,虽然没有那么真实感,可毕竟是免费的嘛。我们可以在这些仿真的机器人身上去学习如何控制机器人,读取它们的传感器数

    2024年02月08日
    浏览(33)
  • Altium Designer(AD)软件使用记录13-PCB布线部分之数据线的等长处理

    数据线的等长是点对点的等长,并没有拓扑结构。 等长目的是为了满足时序的匹配,我们需要进行数据线的等长。 允许存在一定的误差,SDRAM 允许±50mil 高速规则设置: 等长公差: 如果有多个数据线的类,就新建几个规则创建就可以了。 创建高速规则以后: 先找到最长的那

    2024年02月14日
    浏览(31)
  • ChatGPT更新的使用指南,与其他类似的人工智能的软件和服务-更新版(2023-6-25)

    如果你还不知道如何注册和使用,可看末尾,手把手教你。 ChatGPT 是一种基于自然语言处理技术的聊天机器人,它是由 OpenAI 公司开发的,使用了最新的语言模型技术。 ChatGPT 能够理解和产生自然语言,可以模拟人类的对话,回答各种问题,提供相关的建议和信息,并且具有

    2024年02月11日
    浏览(40)
  • Mars3D使用过程遇到的问题记录【持续更新】

    需要标注线面的角度heading 2022年6月23日 heading计算方式: https://turfjs.fenxianglu.cn/ 计算两点之间的角度 直接F12在控制台可以计算 eg: 加载gltf模型,模型是透明的,需要改为不透明 2022年6月23日 用文本编辑器打开.gltf,把里面的\\\"alphaMode\\\":\\\"BLEND\\\"改成\\\"alphaMode\\\":\\\"OPAQUE\\\" 模型旋转之后,标

    2024年02月08日
    浏览(43)
  • FPGA新起点V1开发板(三)——Quartus II软件的使用(流水灯的烧录以及sof转jic的方法记录)

    先创建一个工程文件夹 flow_led 再创建四个子文件夹 doc存放工程的设计文档或者其他一些datasheet文档、数据手册 par存放工程文件 rtl存放设计文件,也就代码 sim存放工程的仿真文件 第一个第四个可以为空,但是做此可以有良好的习惯 这是打开一个工程向导 这是选择FPGA的芯片

    2024年02月04日
    浏览(34)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包