Modelsim仿真操作指导

这篇具有很好参考价值的文章主要介绍了Modelsim仿真操作指导。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

目录

一、前言

二、仿真分类

三、RTL级仿真

 3.1创建库

3.2 仿真配置设置

3.3 运行仿真 

四、常见问题

4.1 # Error loading design

4.2 运行仿真时报错“can't read "Startup(-L)": no such element in array”

4.3运行仿真时无任何报错,但object窗口为空,可正常运行仿真,如执行命令run -all 但没有波形


一、前言

        目前网上较多的是使用ISE如vivado配合vivado进行仿真操作,本文将演示直接使用modelsim进行仿真,需要提供的是工程源文件和测试文件

二、仿真分类

        根据使用不同仿真阶段的源文件进行仿真,可划分为RTL仿真,综合后仿真,时序仿真。

RTL是根据.v源文件中verilog描述的功能进行仿真,是寄存器传送级的描述进行测试,验证功能的正确性,综合后仿真为对RTL级描述工程综合后的网表进行仿真,时序仿真是指布局布线后的仿真,并且考虑了布线后的线路时延。

三、RTL级仿真

以一个计数到10后重新计数的计数器工程为例,工程文件为counter1.

3.1创建库

打开modelsim,进入"file->new->library"

Modelsim仿真操作指导

Library Name输入库名,Library Physical Name名称默认相同即可

Modelsim仿真操作指导

创建后可在“Library”中查看到创建的library

Modelsim仿真操作指导

3.2 创建project

进入“File->New->Project” ,弹出如下设计弹框,Project Name自定义名称,Project Location设置创建的工程位置,Default Library Name默认为work,将其修改为上一步设置的library名称,此处为counter_lib,然后点“OK”

Modelsim仿真操作指导

设置完project后会弹出源文件添加的弹框,本例因为事项写好了源文件和测试文件,故选择“Add Existing File”直接添加文件,也可选择“Create New File”进行创建文件后再编写

Modelsim仿真操作指导

同时选择源文件和测试文件进行添加 

Modelsim仿真操作指导

添加成功后如下图所示 

Modelsim仿真操作指导

选中任一文件,鼠标点击右键,选择“compile->compile All”,对添加的文件进行编译,编译成功后status由“?”变为“√”

Modelsim仿真操作指导

3.2 仿真配置设置

任选一个编译后的文件,点击鼠标右键,进入“Add to Project->Simulation Configuration”

Modelsim仿真操作指导

"Simulation Configuration Name"设置配置名称,库文件中如图第一步选择测试文件“counter_tb”,然后点击右下角的“Optimization Options” 

 Modelsim仿真操作指导

 进入"Options","Optimization Level"选择第二个,点击"OK"。

Modelsim仿真操作指导

回到仿真配置界面,再点击“save”

 Modelsim仿真操作指导

3.3 运行仿真 

在project中可看到工程文件下多了一个“simulation_test”的配置文件,可双击该配置文件或点击鼠标右键后选择“Execute”

Modelsim仿真操作指导

 如果没有弹出"Objects"和“wave”窗口,则按下图操作勾选“wave” 和“Objects”

Modelsim仿真操作指导

在“Objects”窗口中 ,选择需要查看的波形,点击鼠标右键,选择“Add to->Wave->Selected Signals”即将需要查看到的波形添加到Wave窗口

Modelsim仿真操作指导

在Wave窗口中,按下图顺序,先点击1执行restart,再点击2执行Run -All运行仿真,此时仿真开始,需要停止仿真点击3,执行stop即停止仿真

Modelsim仿真操作指导

仿真结果波形如下图

Modelsim仿真操作指导

如果想运行指定时长的波形,则可在命令窗口设置,先执行restart,再执行run 100000,此处因测试文件的时间为'timescale 1ns/1ps,因此100000为100000ps

Modelsim仿真操作指导

结果如下图,仿真在100000ps处停止 

Modelsim仿真操作指导

四、常见问题

4.1 # Error loading design

一般报此错误都是仿真文件设计问题,具体原因较多,可以查看具体的信息,此处的错误比较简单。

Modelsim仿真操作指导

解决办法 :按照错误提示“ Port 'ce' not found in module 'FF' (4th connection)”可知端口ce不存在,检查设计的源文件修改即可

4.2 运行仿真时报错“can't read "Startup(-L)": no such element in array”

源文件和测试文件编译成功后,运行仿真报错 

Modelsim仿真操作指导

解决办法 :进入到library下,选择测试文件divider_tb

Modelsim仿真操作指导

4.3运行仿真时无任何报错,但object窗口为空,可正常运行仿真,如执行命令run -all 但没有波形

运行打印如下

Modelsim仿真操作指导

 object为空

Modelsim仿真操作指导

 解决办法:

运行仿真时进入“Optimization Options”,选择“Apply full visibility to all modules”即可

Modelsim仿真操作指导

Modelsim仿真操作指导文章来源地址https://www.toymoban.com/news/detail-404713.html

到了这里,关于Modelsim仿真操作指导的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA学习实践之旅——前言及目录

    很早就有在博客中记录技术细节,分享一些自己体会的想法,拖着拖着也就到了现在。毕业至今已经半年有余,随着项目越来越深入,感觉可以慢慢进行总结工作了。趁着2024伊始,就先开个头吧,这篇博客暂时作为汇总篇,记录在这几个月以及之后从FPGA初学者到也算有一定

    2024年02月03日
    浏览(35)
  • 脚本化Questasim/Modelsim自动仿真——脱离联合仿真

    目录 引言 脚本仿真步骤分析 完整的脚本代码 保姆级使用教程 扩展 之前对于工程一直采用的是vivado+questasim联合仿真的模式,对于大型工程来说这个是合适的,因为需要调用一些ip库和包括约束之类的,vivado的图形化界面做的很完善,基本是一站式服务了;而对于一个小dem

    2024年02月10日
    浏览(30)
  • Modelsim仿真使用教程

    最近写了个设计《基于VHDL/Verilog的汉明码编译码器设计》 之前用QuartusII+modelsim联合仿真,没有出现任何问题,后面在别的电脑上也安装了两个软件,结果QuartusII中无法正常的启动modelsim软件,没有找到很好的解决办法,干脆直接使用modelsim仿真。 激励文件的作用:仿真无疑就

    2024年02月02日
    浏览(30)
  • Modelsim软件仿真出错:Modelsim is exiting with code 7.

     以下为使用modelsim软件进行波形仿真时出现的错误。 Modelsim is exiting with code 7. Check the transcript file for more information on the fatal error.This dialog will automatically dose in 10 seconds.                             Mentor公司的ModelSim是优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业

    2024年02月12日
    浏览(26)
  • Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

    Quartus II 13.1软件自10.0版本以后,便不再自带波形仿真工具,需要借助Modelsim进行波形仿真。一般安装Quartus II的时候,会默认安装Modelsim Altera,如果需要用到Modelsim软件的话,需要额外再安装一下。本文将介绍一下Quartus II 13.1软件与Modelsim(需要提前安装好)或Modelsim Altera进行

    2024年02月11日
    浏览(34)
  • 【安路FPGA】联合modelsim仿真

    第一在modelsim安装目录下新建一个文件夹Anlogic用来存放TD库文件: 打开modelsim仿真软件,feil→change Directory,选择刚才新建文件目录,在新建一个Library库如图所示: TD库文件al与common是必须要添加的两个库,我的芯片是ph1系列所以添加ph1 在去到这个路径会发现多了三个文件夹,在

    2024年02月08日
    浏览(38)
  • modelsim仿真之do文件

    最重要的一步是编辑do文件中的内容:(需要修改三个地方的内容)第一是testbench文件:也就是仿真文件名,把vlog后面的文件换成你的仿真文件名,设计文件就是你写源程序的文件,你要编译的文件全部加进来,方法和仿真一样,指定仿真顶层,也是把后面改成你的仿真文件

    2024年01月21日
    浏览(36)
  • 【关于Modelsim仿真】4位计数器的设计与仿真

    其实这篇应该是FPGA学习的第一篇,之前一篇使用的黑金的AX301开发板,但是因为种种原因,迟迟没写,这里使用VScode进行编程 VScode可以直接从腾讯电脑管家中下载我就不给链接了。 其他软件的安装与关联在此就不过多赘述了,VScode安装成功后,需要安装一些插件: ex就是e

    2023年04月08日
    浏览(30)
  • vivado2019.1关联modelsim仿真

    vivado版本是2019.1 modelsim版本是10.7 下为modelsim链接 链接:https://pan.baidu.com/s/1IzQIb7578P9aEfU7Xux5IA?pwd=1117 提取码:1117 默认已经安装好vivado和modelsim 目录 一、生成库文件 二、modelsim加载库文件 三、每个新工程的关联modelsim的步骤 1、找一个比较方便找的位置建立一个文件夹(后面仿

    2024年02月15日
    浏览(28)
  • Quartus联合 ModelSim仿真及测试

    插件系列文章目录: (1)modelsim安装使用及Vivado关联 (2)VSCode关联VIVADO编辑Verilog (3)Modelsim观察波形–基础操作述 (4)Quartus联合 ModelSim仿真及测试 Quartus联合 ModelSim仿真及测试 提示:以下是本篇文章正文内容,下面案例可供参考 [Quartus下载链接:https://pan.baidu.com/s/1t24

    2024年02月06日
    浏览(34)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包