FPGA开发常用软件的安装

这篇具有很好参考价值的文章主要介绍了FPGA开发常用软件的安装。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

Vivado软件的安装

       这篇博客里,我们一起去安装开发FPGA必备的软件包括:Vivado、Modelsim、Gvim,并附上详细的安装步骤和配套说明,正常来说安装配置好这些软件可能也需要花费2-3小时,所以需要大家在这里多些耐心。工欲善其事必先利其器,选择合适版本的开发软件和工具,也是往后快乐学习工作的先决条件。目前市场上主流的FPGA器件供应商主要分为Xilinx和Altera,其中Altera公司推出的FPGA软件环境为Quartus,Xilinx公司推出的FPGA软件环境为ISE和Vivado,其图标如图1所示。

FPGA开发常用软件的安装

图1 Quartus、ISE、Vivado图标 

       

       从市场占有率来说,Xilinx公司供应的FPGA芯片因其功能完善、性价比高占据市场的50% 以上的比例,Xilinx公司的低端型号的芯片其中以Spartan-6系列为主要代表通常配套使用ISE开发环境,但是ISE软件现在已经停止更新,所以最后的版本定格在ISE 14.7上, 不会改变而对于Xilinx公司目前占据市场主导的7系列(Spartan-7、Artix-7、Kintex-7、Virtex-7)和ZYNQ,ultrascale等较高端芯片都配套使用Vivado开发环境,Vivado环境很好地兼顾ISE环境的所有功能,并且在实时更新软件版本中。

       以嵌入式产品实际开发经验来看,这里向大家推荐Vivado 2017.4版本,因为这个版本非常稳定并且功能也比较完善,联合Modelsim 10.6仿真软件使用效果很好,笔者在工作当中也一直在使用这个版本,当然这里大家也完全可以安装使用更高的Vivado版本,可以根据工作学习需要和电脑配置安装合适的版本,不过建议使用Vivado 2016.4及其以上的版本。一般来说版本越高的Vivado软件,因为功能增加了安装过后也就越占空间,同时不同的Vivado版本需要对应不同的Modelsim版本才可以联合仿真,下表为Xilinx官方推荐的Vivado和Modelsim版本配套使用的情况。

Vivado

2018.2

Vivado

2018.1

Vivado

2017.4

Vivado

2017.3

Vivado

2017.2

Vivado

2017.1

Vivado

2016.4

Mentor Graphics Modelsim SE/DE/PE (10.6c)

Mentor Graphics Modelsim SE/DE/PE (10.6c)

Mentor Graphics Modelsim SE/DE/PE (10.6b)

Mentor Graphics Modelsim SE/DE/PE (10.6b)

Mentor Graphics Modelsim SE/DE/PE (10.5c)

Mentor Graphics Modelsim SE/DE/PE (10.5c)

Mentor Graphics Modelsim SE/DE/PE (10.5c)

表1 Xilinx官方推荐Vivado和ModelSim版本兼容表

   

      在这里也去简单介绍一下Vivado 2017.4的安装流程。首先打开Vivado安装包如图2所示点击xsetup.exe,然后会进入安装引导界面如图3所示,直接next进入Vivado 2017.4的安装,图4和图5分别是Vivado 2017.4的接受许可协议界面和Vivado 2017.4的安装版次选择界面,并勾选“I Agree”和选择好对应安装的版次,一路next即可,在图6中Vivado 2017.4的选择组件和器件库界面,因为Vivado的安装非常占空间,大家可以根据实际需求来选择学习工作中用到的器件库来安装,在图7中,大家去选择Vivado 2017.4的安装路径,next后会弹出图8所示的 Vivado 2017.4的安装总结界面,大家点击Install安装即可,耐心等待半小时左右,安装完毕后会自动弹出如图10 所示Vivado 2017.4的安装成功后的提示对话框,表示了Vivado 17.4已经成功安装好了,最后在安装结束如图11所示,我们可以选择 30 天试用期,也可以通过购买正版的Xilinx 的License 等途径来正常使用。

FPGA开发常用软件的安装

 图2 Vivado 2017.4的安装包

FPGA开发常用软件的安装

 图3 Vivado 2017.4安装的引导界面

FPGA开发常用软件的安装

图4 Vivado 2017.4的接受许可协议界面

FPGA开发常用软件的安装

 图5 Vivado 2017.4的安装版次选择界面

FPGA开发常用软件的安装

图6 Vivado 2017.4的选择组件和器件库界面

FPGA开发常用软件的安装

 图7 Vivado 2017.4的配置安装路径界面

FPGA开发常用软件的安装

 图8 Vivado 2017.4的安装总结界面

FPGA开发常用软件的安装

 图9 Vivado 2017.4的正在安装中的界面

FPGA开发常用软件的安装

 图10 Vivado 2017.4的安装成功后的提示对话框

FPGA开发常用软件的安装

 图11导入Vivado 2017.4的Lincese

Vivado软件的功能概述

       在安装完Vivado 17.4这个版本后,这里为大家简单地介绍下Vivado软件的大概使用流程,即如图12所示,打开Vivado软件,首先新建一个工程,在新建工程的过程中,大家完全可以通过工程创建向导,直接去新建一个芯片型号为XC7A35T-2FTG256C空工程,然后再手工去把Verilog的.v文件、IP核、约束.xdc文件添加到工程里,这一部分即设计输入,同时在设计输入和分析阶段,Vivado 软件会自动检查代码,如果代码有语法错误,那么开发环境上会给出相关的错误提示。

       在FPGA 设计中,综合过程就是将RTL设计转变为由 FPGA 器件中的查找表(LUT)、触发器(FF)等各类底层电路单元所组成的网表,在这个过程中Vivado环境下的综合器也会对设计进行优化,删除多余的逻辑等等。在综合完成后,就是约束输入步骤了,.xdc约束文件中表达了设计者期望满足的时序约束、引脚约束等等,约束文件规范了整个设计的时序行为,并在综合与实现阶段来指导工具进行布局和布线,工具会按照你的约束尽量去布局和布线,以满足设计的时序要求,设计者可以在时序报告中看到最后的结果。

       接着如果实现整个设计了,完成了布局和布线等等,Vivado 会给出提示结果。此时,就可以生成用于下载到器件中的比特流.bit文件了。最后通过下载器来将这个比特流.bit文件下载到 FPGA中,就完成了开发整个流程,可以上板观察现象了,但是.bit文件会掉电丢失,所以最终程序定型以后需要通过Vivado环境生成.mcs文件烧写到FPGA外挂flash中。

FPGA开发常用软件的安装

 图 12 Vivado软件的使用流程

Modelsim软件的安装

      Modelsim软件是由Mentor公司推出的一款非常优秀的FPGA仿真软件,其完美地兼容了各大FPGA厂家推出的IP核,因此成为业界必备的仿真神器,虽然Vivado本身也自带有仿真软件,但是Modelsim的仿真速度和灵活性等各个方面却远超过它。为了更好地工程实践化,笔者建议大家去使用Modelsim作为FPGA的仿真软件。

FPGA开发常用软件的安装

 图13 ModelSim 10.6的安装包

       前面也提到过Modelsim和Vivado软件版本的匹配问题,所以豌豆科工也为大家提供了Modelsim 10.6版本的下载链接用于匹配Vivado 17.4版本,其安装过程相对于Vivado软件更为简便,点击modelsim-win64-10.6-se.exe根据安装向导的提示一路next即可,其中如图14和图15所示分别是Modelsim 10.6的安装导向界面,自定义Modelsim 10.6的安装路径界面,大家可以自定义安装路径。

FPGA开发常用软件的安装

图14 ModelSim 10.6的安装导向界面 

FPGA开发常用软件的安装

 图15自定义ModelSim 10.6的安装路径界面

Modelsim10.6d和Vivado17.4软件的关联

      打开Vivado软件,选择Tools选型下的Compile Simulation Libraries,编译库compiled library location放入新建的文件夹D:/Vivado/Vivado2017.4_lib中,当然这里大家也完全可以自定义其他的路径,simulator executable path选择modelsim安装路径下的win64,同时请注意不要忘记勾选compile xilinx IP选项,最后单击Compile即可,设置如下图16所示,其中整个编译过程一般需要半个小时到一个小时之间,过程中会弹出17的编译进度条,所以还请大家耐心等待。

FPGA开发常用软件的安装

图16 Vivado17.4和ModelSim 10.6联合设置的界面 

FPGA开发常用软件的安装

 图17 Vivado17.4下编译ModelSim 10.6的仿真库

        在Vivado17.4环境下编译完全以后,我们还需要添加一下用户的环境变量,如下图5-17所示,变量名为:MODELSIM,变量值为:D:/Vivado/Vivado2017.4_lib/modelsim.ini,就和刚才编译的路径名相同即可。

FPGA开发常用软件的安装

图18 添加环境变量识别modelsim.ini文件 

         我们再回到Vivado界面窗口,打开已经准备好的一个测试软件dds_ip_test文件,如图19所示,进入Vivado界面后,请选择Tools选型下的Settings设置选型。 打开Settings设置以后,如图20和21所示,这里需要在3rd Party Simulators下设置Modelsim的安装路径和库路径;在Simulation下设置Target simuator为Modelsim Simulator,我们只需要设置一次即可,后面打开Vivado软件就会默认设置好的了。

FPGA开发常用软件的安装

图19 打开dds_ip_test项目工程 

FPGA开发常用软件的安装

                           图20 在3rd Party Simulators下设置Modelsim的安装路径和库路径

FPGA开发常用软件的安装

 图21 在Simulation下设置Target simuator为Modelsim Simulator

       如图22所示,我们选择Vivado17.4环境下左侧工具栏的SIMULATION选型的Run Simulation,单击Run Behavioral Simulation即可在Vivado17.4下启动Modelsim10.6仿真软件,如果在安装配置成功的话,即可看到如图23所示下的在Modelsim10.6下的仿真波形图。

FPGA开发常用软件的安装

 图22 在Vivado17.4下启动Modelsim10.6仿真软件

FPGA开发常用软件的安装

 图23 在Modelsim10.6下的仿真波形图文章来源地址https://www.toymoban.com/news/detail-405180.html

到了这里,关于FPGA开发常用软件的安装的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Docker 简单使用及安装常用软件

    子命令分类 子命令 Docker 环境信息 info、version 容器生命周期管理 Create、exec、kill、pause、.restart、rm、run、start、stop、unpause 镜像仓库命令 login、logout、pull、push、search 镜像管理 build、images、import、load、rmi、save、tag、commit 容器运维操作 attach、export、inspect、port、ps、rename、s

    2024年04月28日
    浏览(24)
  • 05 Docker 安装常用软件 (mongoDB)

    目录 1. mongoDB简介 1.1 mongodb的优势 2. mongodb的安装 2.1 创建数据文件夹 2.2 备份+日志 2.3 配置文件夹  2.4 创建两个文件  --- 2.4.1 配置如下:  2.5 拉取mongodb 2.6 运行容器   2.7 进入mongodb容器 --- 2.7.0 高版本(6.0)以上是这样的 , 旧版的没研究  --- 2.7.1 查询版本 --- 2.7.2  进入amdin数据

    2024年02月16日
    浏览(29)
  • windows 下 搭建 ElasticSearch 环境,前端开发常用软件

    打开浏览器测试: http://localhost:9200 彻底关闭ES 第三步:将ElasticSearch安装为Windows服务 进入bin目录下执行命令: elasticsearch-service.bat install 在windows服务中查看 elasticsearch-service.bat后面还可以执行这些命令 install: 安装Elasticsearch服务 remove: 删除已安装的Elasticsearch服务(如果启动则

    2024年04月13日
    浏览(42)
  • 汽车零部件软件开发常用搜索算法

    一、线性搜索(Linear Search) 线性搜索是最基础的查找算法,适用于对未排序或无特定结构的数据集合进行搜索。在C语言中实现时,线性搜索通过遍历数组或链表中的每一个元素,并与目标值进行比较,直至找到匹配项或者遍历完所有元素。其时间复杂度为O(n),其中n代表数

    2024年02月19日
    浏览(28)
  • 嵌入式:ARM常用开发编译软件介绍

    ADS(ARM Developer Suite),是在1993年由Metrowerks公司开发是ARM处理器下最主要的开发工具。 他的前身是SDT,SDT是ARM公司几年前的开发环境软件,目前SDT早已经不再升级。ADS包括了四个模块分别是:SIMULATOR;C 编译器;实时调试器;应用函数库。ADS对汇编、C/C++、java支持的均很好,

    2024年02月06日
    浏览(38)
  • 单片机常用的开发软件有哪些?

    开发工具有很多,踩坑无数总结: 用得顺手、带仿真调试功能 的最重要。 特别是带仿真调试功能,用熟了,能让你找Bug效率直接起飞。 下面给大家盘点一些比较常用的单片机开发工具: 1.Keil μVision   这款开发工具相信是所有做单片机开发必用的了。 Keil是一种基于Windows系

    2024年02月09日
    浏览(28)
  • DebainDebain常用软件安装:jdk、maven、yum,更换软件源(二)

    目录 一、安装sudo命令 二、安装jdk8 三、更换软件源 四、Debian 安装 yum 五、安装zip、unzip、curl、lrzsz、NUMA 六、安装Maven 七、问题 1) 执行sudo命令,提示 -bash: sudo: command not found的解决方法 apt-get install sudo 如果提示 E: Unable to locate package sudo 的错误,先执行下面的命令: apt-ge

    2024年02月08日
    浏览(31)
  • 汽车零部件软件开发中常用滤波算法

    滑动窗口滤波是数字信号处理中的基本技术,通过在数据序列上移动一个固定大小的窗口并计算窗口内数据点的统计量(如均值或中值)来平滑信号。本文将探讨滑动窗口均值滤波和中值滤波的基本实现、工作原理及其局限性,并引入卡尔曼滤波作为一种更高级别的滤波方法

    2024年02月21日
    浏览(30)
  • 【鸿蒙软件开发】ArkTS常用组件之Button

    Button是按钮组件,通常用于响应用户的点击操作,其类型包括胶囊按钮、圆形按钮、普通按钮。Button当做为容器使用时可以通过添加子组件实现包含文字、图片等元素的按钮。具体用法请参考Button。 Button通过调用接口来创建,接口调用有以下两种形式: 创建不包含子组件的

    2024年02月02日
    浏览(35)
  • Debain11常用软件安装:jdk、maven、yum,更换软件源(二)

    目录 一、安装sudo命令 二、安装jdk8 三、更换软件源 四、Debian 安装 yum 五、安装zip、unzip、curl、lrzsz、NUMA 六、安装Maven 七、问题 1) 执行sudo命令,提示 -bash: sudo: command not found的解决方法 apt-get install sudo 如果提示 E: Unable to locate package sudo 的错误,先执行下面的命令: apt-ge

    2024年01月19日
    浏览(28)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包