FPGA学习—按键控制

这篇具有很好参考价值的文章主要介绍了FPGA学习—按键控制。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

FPGA学习系列

前段时间,学校事情太多,还有忙着比赛,没有更新,现在也在准备fpga创新设计大赛,有空就继续更新。


前言


我使用的是vivado,在编程部分应该就IP核的创建和quartusii不一样,模块代码是可以通用的。后面也会放出工程链接,也会有quartusii和vivado的工程。附带modelsim的仿真文件。

一、原理

首先,如果之前学过51、stm32等其他各类单片机,应该会知道按键消抖这个概念。我也在说明一遍,这里引用正点原子加上我个人的理解。

按键消抖通常的按键所用开关为机械弹性开关,当机械触点断开、闭合时,由于机械触点的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时也不会一下子断开。因而在闭合及断开的瞬间均伴随有一连串的抖动,为了不产生这种现象而作的措施就是按键消抖。

类似51或者stm32,常见就是利用delay,又或者用定时器实时扫描。对于fpga,我觉得其消抖方式和定时器很类似。首先,定义一个计数器,当有按键按下,计数器不断自增,若因为抖动,则计数器会清空,重新计数,当不抖动的时候,就会计满,此时才会判定为按键按下,从而实现了消抖。

代码如下(此处用递减,效果是一样的 把值改成1000000-1即可 因为从0开始加)

//计数消除抖动 类似定时器
module key_filter(
    input	wire            sys_clk,          //50M时钟
    input   wire         	sys_rst_n,        //复位信号,低电平有效
    input   wire      		key,              //按键输入(此处一个按键)

    output 	reg       		key_flag,         //按键数据有效信号(方便输出去控制)
	output 	reg       		key_value         //按键消抖后的数据  
   );

//reg   
reg [31:0] delay_cnt;	//32位定时器
reg        key_reg;

//*****************************************************
//**                    main code
//*****************************************************
always @(posedge sys_clk or negedge sys_rst_n) begin 
    if (!sys_rst_n) begin 
        key_reg   <= 1'b1;
        delay_cnt <= 32'd0;
    end
    else begin
        key_reg <= key;
        if(key_reg != key)             //一旦检测到按键状态发生变化(有按键被按下或释放)
            delay_cnt <= 32'd1000000;  //给延时计数器重新装载初始值(计数时间为20ms)
        else if(key_reg == key) begin  //在按键状态稳定时,计数器递减,开始20ms倒计时
                 if(delay_cnt > 32'd0) //不稳定的话,值就会重新递减 以此起到消抖
                     delay_cnt <= delay_cnt - 1'b1;
                 else
                     delay_cnt <= delay_cnt;
             end           
    end   
end

always @(posedge sys_clk or negedge sys_rst_n) begin 
    if (!sys_rst_n) begin 			   //一开始复位 按键按下为低电平,所以复位时按键值为高电平
        key_flag  <= 1'b0;
        key_value <= 1'b1;          
    end
    else begin
        if(delay_cnt == 32'd1) begin   //当计数器递减(改为加法也行加到1000000-1)到1时,说明按键稳定状态维持了20ms
            key_flag  <= 1'b1;         //此时消抖过程结束,给出一个时钟周期的标志信号
            key_value <= key;          //并寄存此时按键的值
        end							   
        else begin
            key_flag  <= 1'b0;
            key_value <= key_value; 
        end  
    end   
end
    
endmodule 
	

二、按键控制实现

已经有了按键消抖,那么只要把该模块的key_value和key_flag输出即可,然后对key_value和key_flag进行判断,然后就可以实现相应的控制了。

控制部分的模块 控制蜂鸣器和灯

module key_control(
      input   	wire    	sys_clk,     //系统时钟
      input   	wire    	sys_rst_n,   //复位信号,低电平有效
				
      input   	wire    	key_flag,     //按键有效信号
      input   	wire    	key_value,	  //消抖后的按键信号	
     
   	  output    reg 		beep,         //蜂鸣器控制信号  
	  output	reg 		led			  //灯控制
  );
  
//控制部分
always @ (posedge sys_clk or negedge sys_rst_n) begin
    if(!sys_rst_n)	
	begin
		led <= 1'b0;
        beep <= 1'b0;
	end
    else if(key_flag && (~key_value))  //判断按键是否有效按下
	begin						//按键有效是低电平,所以取反
         beep <= ~beep;			//再加上按键有效标志约束,更稳定
		 led  <= 1'b1;
	end
end
endmodule 


三、顶层模块

例化上述两个模块

//顶层模块
module top_key
(
      input    wire    	sys_clk		,      //时钟信号50Mhz
      input    wire		sys_rst_n	,	   //复位信号
      
      input    wire    	key			,      //按键信号       
      
	  output   wire    	beep		,      //蜂鸣器控制信号
	  output   wire		led				   //灯控制信号
);
      
//wire
wire key_value;
wire key_flag;

//例化
//按键消抖模块
key_filter	key_filter_inst
(
    .sys_clk		(sys_clk	)	,   //50M时钟
    .sys_rst_n		(sys_rst_n	)	,   //复位信号,低电平有效
    .key            (key        )  	,   //按键输入(此处一个按键)

    .key_flag		(key_flag)		,   //按键数据有效信号(方便输出去控制)
	.key_value      (key_value)   		//按键消抖后的数据  
   );  
//按键控制模块
key_control	key_control_inst
(
     .sys_clk		(sys_clk)	,     //50M时钟
     .sys_rst_n		(sys_rst_n)	,     //复位信号,低电平有效

     .key_flag		(key_flag)	,     //按键有效信号
     .key_value		(key_value)	,	  //消抖后的按键信号	

   	 .beep			(beep)		,     //蜂鸣器控制信号  
	 .led			(led)		  	  //灯控制
  );
endmodule 

总结

主要通过定义一个计数器,按键有效时开始计数,如果计数了20ms就认为是有效的,如果有抖动,就会一直重新计数,以此达到了消抖的效果。

后续,我会把之前的工程,仿真等文件慢慢补上。
之后将同步更新PYNQ系列。文章来源地址https://www.toymoban.com/news/detail-410141.html

到了这里,关于FPGA学习—按键控制的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 按键控制流水灯方向——FPGA

    环境: 1、Quartus18.0 2、vscode 3、板子型号:EP4CE6F17C8 要求: 按键1按下,流水灯从右开始向左开始流动,按键2按下,流水灯从左开始向右开始流动,按键3按下LED每隔1s进行亮灭,按键4按下LED常亮。 “自锁”是指开关能通过锁定机构保持某种状态(通或断),“轻触”是说明操

    2024年02月07日
    浏览(36)
  • FPGA拾忆_(10):按键控制蜂鸣器_边沿检测_按键消抖

    1.硬件特征: 轻触式(回弹式)按键         略 蜂鸣器: 分为蜂鸣器按照结构原理不同可分为压电式蜂鸣器和电磁式蜂鸣器。 压电式蜂鸣器主要由多谐振荡器、压电蜂鸣片、 阻抗匹配器及共鸣箱、外壳等组成; 电磁式蜂鸣器由振荡器、电磁线圈、磁铁、振动膜片及外壳等组

    2024年04月10日
    浏览(28)
  • FPGA通过一个按键控制三个LED灯亮灭(状态机法)

    FPGA型号:Cyclone IV EPFCE10F17C8    开发工具:Quartus13.0专业版  Modelsim 10.1d 文章目录 状态机 一、设计思路 二、代码设计 1.顶层代码 2.测试代码 三、仿真 状态机由状态寄存器和组合逻辑电路构成,能够根据控制信号按照预先设定的状态进行状态转移,是协调相关信号动作、完成

    2024年02月02日
    浏览(79)
  • 单片机期末设计 - 液晶显示屏显示时间、日期、温度及按键控制(实验板实现)

    设计本代码的主要目的是为了完成期末作业,即在液晶显示屏上显示、时间、日期、温度,以及用按键控制时间、温度的显示。总的来说就是对前面学习计数的糅合,本代码实现的是显示时间,并能在实验板上成功显示。 1.液晶显示屏模块应用 主要是学会如何在液晶显示屏上

    2024年02月08日
    浏览(47)
  • STM32F103系列之按键控制LED灯

    上篇已经介绍了点灯的操作了,本篇主要介绍按键控制LED灯进行相关操作。 同样,我们需要对GPIO进行相关的初始化配置,这里我们将LED相关的代码全部放在LED.C和LED.H文件里面。 LED.C文件: LED.H文件: 然后我们开始写KEY.C和KEY.H文件里面的相关代码: 首先我们需要知道按键的

    2024年02月05日
    浏览(30)
  • FPGA学习-Verilog实现独立按键消抖

    利用verilog语言实现独立按键消抖,文章首先对按键抖动产生的原因、消抖原理进行简要解释;之后详细阐述各模块verilog语言实现方法;最后利用四个独立按键控制led亮灭,在vivado下进行源码设计与仿真。(完成程序代码附在文章结尾) 按键一般是机械弹性开关,由于机械触

    2024年02月04日
    浏览(30)
  • FPGA入门学习笔记(十三)Vivado实现按键消抖

    四种状态 按键未按下:静止状态呈高电平; 按下过程中:抖动状态呈高低电平; 按键已按下:静止状态呈低电平; 释放过程中:抖动状态呈高低电平。 按键消抖思路 :区别于单片机使用20ms延时判断电平正负,FPGA中采用电平保持计时,若时间长度超过20ms则认为发生了一次

    2024年02月04日
    浏览(38)
  • 【笔记】6位数码管显示定时器定时的时分秒,通过按键控制时间,自定义串口通信协议,根据单片机接收到的指令控制数码管显示

              目的:利用PROTUES仿真软件、串口调试助手、虚拟串口,搭建单片机与PC通信仿真平台,熟悉单片机串口的配置及与PC机的通信方法;尝试制定通信协议(含开始码、指令、数据、停止码),单片机根据通信协议解析接收到的内容,并根据接收的指令执行相应的操

    2024年02月05日
    浏览(33)
  • FPGA控制TDC-GPX2时间间隔测量(一)

    距离上一次使用FPGA控制TDC芯片测量时间间隔已经过去一年多了,当时采用的TDC芯片是TI的一款芯片TDC7200,最后测量结果所能达到的精度为百皮秒级别,最近使用入手了AMS生产的TDC-GPX2这款芯片,单通道测量的精度达到有20ps,高精度模式理论测量精度达到10ps,本文于此介绍以

    2024年02月09日
    浏览(24)
  • verilog学习笔记- 11)按键控制蜂鸣器实验

    蜂鸣器按照驱动方式主要分为有源蜂鸣器和无源蜂鸣器,其主要区别为蜂鸣器内部是否含有震荡源。一般的有源蜂鸣器内部自带了震荡源,只要通电就会发声。而无源蜂鸣器由于不含内部震荡源,需要外接震荡信号才能发声。                                          

    2024年02月02日
    浏览(30)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包