如何使用Python实现FPGA编程“自动化”

这篇具有很好参考价值的文章主要介绍了如何使用Python实现FPGA编程“自动化”。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

如何使用Python实现FPGA编程“自动化”

之前读到过一个公众号文章,写了关于《使用Python实现Vivado和Modelsim仿真的自动化》,连接https://mp.weixin.qq.com/s/2YR_LjpQNtJr9beqnCz7CA。根据该文章,基于自己的编程习惯和工作需要,我做了一些修改和便于自己编程的一些python代码,这里和大家介绍一下。



一、自动生成tb文件

1、对于被生成的模块的端口格式,必须按照以下格式,才能自动生成。

##    entity top is
##       Port ( 
##          clk_in  : in std_logic;
##          rst     : in std_logic;
##          data_in : in std_logic;
##          data_out: out std_logic_vector(7 downto 0);
##          data_v  : out std_logic_vector(7 downto 0);
##       );
##    end top;

2、预定义字符串列表,用于生成tb文件的基本框架,如下:

str1 = ['----------------------------------------------------------------------------------'
'-- Company: \n',
'-- Engineer: \n',
'-- \n',
'-- Create Date: \n',
'-- Design Name: \n',
'-- Module Name:  - Behavioral\n',
'-- Project Name: \n',
'-- Target Devices: \n',
'-- Tool Versions: \n',
'-- Description: \n',
'-- \n',
'-- Dependencies: \n',
'-- \n',
'-- Revision:\n',
'-- Revision 0.01 - File Created\n',
'-- Additional Comments:\n',
'-- \n',
'----------------------------------------------------------------------------------\n',
'\n',
'\n',
'library IEEE;\n',
'use IEEE.STD_LOGIC_1164.ALL;\n',
'\n',
'-- Uncomment the following library declaration if using\n',
'-- arithmetic functions with Signed or Unsigned values\n',
'--use IEEE.NUMERIC_STD.ALL;\n',
'\n',
'-- Uncomment the following library declaration if instantiating\n',
'-- any Xilinx leaf cells in this code.\n',
'--library UNISIM;\n',
'--use UNISIM.VComponents.all;\n',
'\n',
'entity top is\n',
'-- port ();\n',
'end top;\n',
'\n',
'architecture Behavioral of top is\n',
'\n',
'   constant period  : TIME                          := 20 NS;  --时钟周期设置\n',
'   constant CNT_MAX : INTEGER                       := 100  ;  --cnt计数器最大值\n',
'   signal   cnt     : INTEGER RANGE 0 TO CNT_MAX    := 0    ;  --复位设置\n',
'begin\n',
'-----------------------复位和时钟生成----------------------------------------\n',
'   clk_gen1 : PROCESS \n',
'   BEGIN\n',
'      clk_in <= \'1\';\n',
'      WAIT FOR period/2;\n',
'      clk_in <= \'0\';\n',
'      WAIT FOR period/2;\n',
'   END PROCESS;\n',
' \n',
'   cnt : process(clk)\n',
'   begin\n',
'      if ( clk_in\'event and clk_in = \'1\') then\n',
'         if (cnt = CNT_MAX) then\n',
'            cnt <= 0;\n',
'         else\n',
'            cnt <= cnt + 1;\n',
'         end if;\n',
'      end if;\n',
'   end process; \n',
'\n',
'   reset : process(clk)\n',
'   begin\n',
'      if ( clk_in\'event and clk_in = \'1\') then\n',
'         if cnt > CNT_MAX then\n',
'            reset <= \'0\';  \n',
'         else\n',
'            reset <= \'1\';  --复位\n',
'         end if;\n',
'      end if;\n',
'   end process;\n',
'\n',
'----------------------------UUT instantiate--------------------------\n',
'   Port map(\n',
'   );\n',
'\n',
'end Behavioral;']

3、读取需要仿真的模块顶层文件,读取到环境列表中

vhdfile = open('\xxx.vhd','r')                #读取文件
vhdfilelines = vhdfile.readlines()           #将文件列表写入vhdfilelines中
vhdfile.close()     


4、从vhdfilelines中循环查找端口、模块名称

entitystr = 'entity'                            #实体-识别字符串
endstr = 'end '                                 #实体结束部分-识别字符串
numend = 0                                      #端口开始的行号
numstart = 0                                    #端口结束的行号

for listline in vhdfilelines:                   #循环读取文件列表
   if entitystr in listline:                    
      strline = listline                        
      numstart = vhdfilelines.index(strline)    #根据‘实体-识别字符串’查找对应的行号
      strline = strline.split(' ')              #按照空格来分割
      entityname = strline[1]                   #模块名称赋值
      endstr = endstr + entityname              #重新定义:实体结束部分-识别字符串
      continue                                  #跳出本次循环
   if endstr in listline:                       #查找结束位置行号
      strline = listline                        
      numend = vhdfilelines.index(strline)      
      break                                     #结束循环

5、提取要仿真的模块端口信息

portlist = vhdfilelines[numstart+2:numend-1]                       #提取模块实体部分
row_n = len(portlist)                                              #确定长度
column_n = 2                                                       #列表的列数
portmap = [['' for columns in range(2)] for rows in range(row_n)]  #定义一个n行2列的空列表

for i in range(0,row_n):                                           #for循环查找对应信息
   strline = portlist[i].split(':')                                
   strline1 = strline[0].split(' ')                                
   for j in range(0,len(strline1)):                                
      if strline1[j] != '':                                        
         portmap[i][0] = strline1[j]                               #端口名称赋值
         break                                                     
   portmap[i][1] = strline[1]

6、修改实体、结构体部分的模块名称,如下:

ModuleName = "tb_" + entityname
str1[5] = "-- Module Name: " + ModuleName + " - Behavioral\n"
str1[32] = "entity " + ModuleName + " is\n"
str1[34] = "end " + ModuleName + ";\n"
str1[36] = "architecture Behavioral of " + ModuleName + " is\n"

7、生成tb文件中调试模块的信号定义

for i in range(row_n-1,-1,-1):                     #逆序循环插入
   strline = portmap[i][0]                         #信号名称
   strline = " "*tabnum + "signal " + strline      #生成:"   signal clk_in"
   if portnamenum > len(strline):                  #判断是否超出最大数
      kongnum = portnamenum - len(strline)         
   else:                                           
      kongnum = 1                                  
   strline = strline + " "*kongnum + ":"           #补入空格,对其到":"
   if "in " in portmap[i][1]:                      #去掉第二段字符串的in、out方向关键字
      strline1 = portmap[i][1].replace("in ","")   
   elif "out" in portmap[i][1]:                    
      strline1 = portmap[i][1].replace("out","")   
   strline = strline + strline1                    #拼接
   str1.insert(38,strline)                         #插入

8、生成测试实体说明部分

str1.insert(38," "*tabnum + "end component;\n")              
str1.insert(38,"   );\n")                                    
for i in range(row_n-1,-1,-1):                               #倒序插入
   strline = portmap[i][0]                                   #
   strline = " "*tabnum*2 + strline                          #
   if portnamenum > len(strline):                            #判断是否超出最大数
      kongnum = portnamenum - len(strline)         
   else:                                           
      kongnum = 1 
   strline = strline + " "*kongnum + ":"                     #
   strline = strline + portmap[i][1]                         #
   str1.insert(38,strline)                                   #
str1.insert(38,"   port(\n")                                 #
str1.insert(38," "*tabnum + "component "+ entityname +"\n")  #

9、生成测试实体的例化部分信号连接

numinst = str1.index('   Port map(\n')                  #由于上述插入多行,这里需要重新定为行号
str1.insert(numinst,"   UUT : "+ entityname +"\n")           # 

for i in range(row_n-1,-1,-1):                               #倒序插入
   strline = portmap[i][0]                                   #
   strline = " "*tabnum*2 + strline                          #
   if portnamenum > len(strline):                            #判断是否超出最大数
      kongnum = portnamenum - len(strline)         
   else:                                           
      kongnum = 1 
   strline = strline + " "*kongnum + "=> "                   #
   strline = strline + portmap[i][0] + " "*(20-len(portmap[i][0]))#
   if i != row_n-1:
      strline = strline + ',\n'
   else:
      strline = strline + '\n'
   str1.insert(numinst+2,strline)                            #

10、将修改好的激励文件写入到对应的tb文件中

fileName= source_path + '\\' + ModuleName + '.vhd'
with open(fileName,'w',encoding='utf-8')as file:
   file.writelines(str1)

二、对于vivado使用modelsim仿真时修改代码不退出重新加载的方法

1、修改修改xxxxxxxx_Compile.do脚本,删除quit -force,防止重新启动仿真时关闭软件

CompileDoFile = open('.\xxx_Compile.do', 'r')
CompileDoFileAllLines = CompileDoFile.readlines()
CompileDoFile.close()
CompileDoFile = open('.\xxx_Compile.do', 'w')
for EachLine in CompileDoFileAllLines:
    if EachLine.find('quit -force') == -1:
        CompileDoFile.writelines(EachLine)
CompileDoFile.close()

2、修改xxxxxxxx_simulate.do脚本,删除run 1000ns和quit -force,添加log -r ./*,并且添加do {xxxx_compile.do}

SimulateDoFile = open('.\xxx_ simulate.do', 'r')
SimulateDoFileAllLines = SimulateDoFile.readlines()
SimulateDoFile.close()

dowrite = SimulateDoFileAllLines[8]
if "vsim" in dowrite:
   SimulateDoFileAllLines.insert(8,"do {xxxx_compile.do}\n")

SimulateDoFile = open('.\xxx_ simulate.do', 'w')
for EachLine in SimulateDoFileAllLines:
    #EachLine.find('run 1000ns')表示列表的某一元素中存在该find字符串,如果不存在,则返回-1
    if EachLine.find('run 1000ns') == -1 and EachLine.find('quit -force') == -1:
        SimulateDoFile.writelines(EachLine)
if ('log -r ./*\n' not in SimulateDoFileAllLines) == True:
   SimulateDoFile.writelines('\nlog -r ./*\n')
SimulateDoFile.close()

总结

对于生成的tb文件使用了基本的python语言,对文件读写操作的语法,我以前也没有怎么学过Python,但是经过2天的学习,就掌握了基本语法,可以看懂程序。并进行编写上述代码。第一个程序解决了我们每次编写仿真激励时的麻烦,在自动生成的tb文件中,会自动生成时钟和复位的代码,也会将测试的模块例化到tb文件中,开发人员只需完成模块的其它输入信号的仿真编写。在自动重启时加载修改后的代码,并不会关闭modelsim界面,这样也节省了我们开发人员的仿真时间。可以更加高效的办公。文章来源地址https://www.toymoban.com/news/detail-412960.html

到了这里,关于如何使用Python实现FPGA编程“自动化”的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 如何使用 Selenium 实现自动化操作?

    本篇咱们来谈谈Selenium自动化脚本是如何工作的,以及如何实现一个简单的自动化示例; 一、关于Selenium 1.1、为什么选择它作为web自动化的测试工具? 选择Selenium作为web自动化测试工具的原因(面试也许会问): 1. 开源免费; 2. 支持多浏览器,如Chrome、 Firefox、IE浏览器等;

    2024年02月02日
    浏览(32)
  • 使用【Python+Appium】实现自动化测试

    一、环境准备 1.脚本语言:Python3.x    IDE:安装Pycharm 2.安装Java JDK 、Android SDK 3.adb环境,path添加E:SoftwareAndroid_SDKplatform-tools 4.安装Appium for windows,官网地址 Redirecting  点击下载按钮会到GitHub的下载页面,选择对应平台下载   安装完成后,启动Appium,host和port默认的即可,然

    2024年02月08日
    浏览(34)
  • 使用Python实现产品图片自动化处理

    大家好,在当今的数字化时代,产品图片在电子商务和市场营销中发挥着至关重要的作用。然而,为在线平台准备产品图片可能是一项耗时的任务,本文将分享一个Python脚本,用于自动化产品图片的图像处理工作流程。通过使用 Python Imaging Library(PIL) 和 rembg 库,可以实现删

    2024年02月16日
    浏览(27)
  • python接口自动化之request请求,如何使用 Python调用 API?

        尊重原创,转载请注明出处,谢谢!!

    2024年02月08日
    浏览(41)
  • 如何使用Docker实现分布式Web自动化!

    01、前言 顺着docker的发展,很多测试的同学也已经在测试工作上使用docker作为环境基础去进行一些自动化测试,这篇文章 主要讲述在docker中使用浏览器进行自动化测试如果可以实现可视化,同时可以对浏览器进行相关的操作。 0 2、开篇 首先我们先了解什么是有头浏览器和无

    2024年02月13日
    浏览(34)
  • 从零开始学习:如何使用Selenium和Python进行自动化测试?

    安装selenium 打开命令控制符输入:pip install -U selenium 火狐浏览器安装firebug:www.firebug.com,调试所有网站语言,调试功能 Selenium IDE 是嵌入到Firefox 浏览器中的一个插件,实现简单的浏览器操 作的录制与回放功能,IDE 录制的脚本可以可以转换成多种语言,从而帮助我们快速的开

    2024年04月23日
    浏览(51)
  • Python+Appium实现自动化测试的使用步骤

    这篇文章主要介绍了Python+Appium实现自动化测试的使用步骤,文中通过示例代码介绍的非常详细,对大家的学习或者工作具有一定的参考学习价值,需要的朋友们下面随着小编来一起学习学习吧 1.脚本语言:Python3.x IDE:安装Pycharm 2.安装Java JDK 、Android SDK 3.adb环境,path添加E:

    2024年02月03日
    浏览(30)
  • 如何使用机器人和物联网实现仓库自动化

    自动化和机器人化不再仅限于制造过程; 现代仓库也正在采用大量新技术。 物联网 (IoT) 的出现使得极其精确的室内地理定位成为可能; 仓库工作人员正在使用智能仓储车; 先进的传感器确保存储货物的质量。 了解仓库自动化以及它如何保护并加快您的物流流程。 什么是物联网

    2024年02月20日
    浏览(35)
  • Python使用HTTP代理实现网络请求的自动化

    随着网络技术的发展,网络请求成为了许多应用的重要组成部分。然而,手动发送网络请求不仅效率低下,而且容易出错。为了解决这个问题,我们可以使用Python来实现网络请求的自动化。而HTTP代理可以帮助我们更好地控制和管理这些请求。 在Python中,有许多库可以用来发

    2024年01月19日
    浏览(36)
  • 使用Python+selenium实现第一个自动化测试脚本

    这篇文章主要介绍了使用Python+selenium实现第一个自动化测试脚本,文中通过示例代码介绍的非常详细,对大家的学习或者工作具有一定的参考学习价值,需要的朋友们下面随着小编来一起学习学习吧 最近在学web自动化,记录一下学习过程。 此处我选用python3.6+selenium3.0,均用

    2024年02月03日
    浏览(40)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包