基于FPGA的FM信号解调

这篇具有很好参考价值的文章主要介绍了基于FPGA的FM信号解调。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

这是本人第一次写博客,写的不好请多多担待。
本次实验是将一个已知的FM信号通过FPGA进行解调,解调出波形并进行FFT得到调制频率fm,并且每一步都通过MATLAB进行波形的验证。

开发工具

	VIVADO 2019.2
	MATLAB

FM解调

已知FM信号的载波频率fc为22MHZ,调制信号频率fm为8KHZ,采样率为50MHZ,在MATLAB中采样20000个点,位宽为10。FM具体的解调原理我就不说了。。。我用的方法也是正交解调,先将FM信号利用DDS IP核混频得到I路与Q路信号下变频到基带,然后通过低通滤波器进行滤波,之后再进行差分鉴频,最后进行FFT得到调制频率fm。差分鉴频的算法如下图:
基于FPGA的FM信号解调

输入模块

将FM信号导入VIVADO ROM  IP核,首先使用MATLAB绘制出FM信号的波形,并生成coe文件,导入VIVADO的ROM IP核,
位宽为10,深度为20000。VIVADO的ROM IP核具体怎么配置我就不说了。。这样的贴子很多,不懂的可以
先去看看如何配置。MATLAB与VIVADO的图如下:

基于FPGA的FM信号解调
基于FPGA的FM信号解调

变频模块

利用DDS生成sin和cos信号,与模块一输出的FM信号进行混频。在VIVADO中配置两个DDS IP核,一个用于生成与载波频率相同的正弦信号,一个用于生成与载波频率相同的余弦信号,再配置两个乘法器IP核进行混频。DDS IP核与乘法器IP核如何配置这类的帖子很多,自己去查一查。。。混频后结果如下图,可见MATLAB与VIVADO中的波形一致。
基于FPGA的FM信号解调
基于FPGA的FM信号解调

滤波模块

利用MATLAB的filterDesigner工具箱生成fir等波纹低通滤波器,我设置的阶数为128,通带频率为0.5M-2.5M。将其生成coe文件导入VIVADO的FIR IP核中,将变频后信号中的高频信号滤除。得到基带信号。
基于FPGA的FM信号解调
基于FPGA的FM信号解调

差分鉴频模块

也是最重要最核心的一个模块,利用开头介绍的算法对FM信号进行解调,并将解调波形输出。
I(n-1), Q(n-1)就是将I路信号与Q路信号延迟一个时钟周期。
经过乘法器IP核输出后的信号位宽很大,要对其进行截短,再通过除法器IP核得到解调波形。除法器IP核如何配置还是自己去看一看这方面的帖子。。。
解调出来的波形是个正弦波。如下图,MATLAB也对其进行了验证。
基于FPGA的FM信号解调
基于FPGA的FM信号解调

FFT模块

配置FFT IP核对解调信号进行FFT运算,得到解调信号频谱。FFT IP核配置稍微麻烦一点,不过这类的帖子很多自己去查一查,我也不是特别清楚,也是边查边摸索。。。FFT之后结果如下图:
基于FPGA的FM信号解调
基于FPGA的FM信号解调
在第二张图片里可以看到VIVADO仿真的频谱图与MATLAB一致。峰值对应的地址为3,通过计算得到fm=9155。与MATLAB结果也一致。
fm计算公式为峰值对应地址*fs/N
其中fs为采样频率
N为FFT点数。

MATLAB与VIVADO FFT之后得到的调制频率fm约等于9155khz,而不是8khz。有人可能会疑惑是不是哪里出错了,我刚开始也一直认为是哪里出错了,从头检查了几遍也没有发现错误,也在网上搜索。。。
上图在解调波形中取了16384个点做FFT,FFT结果不是8khz的原因应该是fs/16384不是整数倍,或取这16384个点不是周期的整数倍,导致出现了频谱泄露。应该是这样的。。。。我也是小白一个,具体什么原因我可能说不上来。后来我再MATLAB中取了12500个点进行FFT,就能得到8k的频率,这证明我的结果是没错的。
基于FPGA的FM信号解调
这样就在FPGA中完成了对FM信号的解调,并通过MATLAB验证了每一步的波形,以确保无误。
这是我第一次写博客,大概就写这么多吧。。。有错误的地方欢迎大家进行指正,也请大家多多担待。
感谢大家的观看,谢谢!文章来源地址https://www.toymoban.com/news/detail-414015.html

到了这里,关于基于FPGA的FM信号解调的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 电子取证之服务器取证,本人第一次从pc取证到服务器,这里有一套例题分享给大家,所有解析我都尽可能全面具体,希望与各位同仁一起学习。(二次修改)

    话不多说,先上链接,这个包含一个2G的服务器镜像和题目,原题是弘连公司的,致谢,此处纯粹分享解法供大家学习。 第二次做题目,发现宝塔新版已经不支持,所以题目意义减少,还是欢迎手搓与小白来看看 链接: https://pan.baidu.com/s/1p8T7Fez_VlnSqdzvptARRw?pwd=ybww 提取码: ybww

    2024年02月07日
    浏览(40)
  • 从小白开始学FPGA,vivado实操第一次,初步产生波形

            上次介绍了如何创建工程,这次来实现一个波形的产生,vivado用的是Verilog语言,语法很简单就不单独说了,慢慢在程序里很快就学会了,大概的介绍我粘在文章最后,想看就看不想看直接实操也行。         在创建的主程序中找到这个模块的括号,我把括号内容

    2024年02月05日
    浏览(29)
  • 【调制解调】FM 调频

    学习数字信号处理算法时整理的学习笔记。同系列文章目录可见 《DSP 学习之路》目录,代码已上传到 Github - ModulationAndDemodulation。本篇介绍 FM 调频信号的调制与解调,内附全套 MATLAB 代码。 目录 说明 1. FM 调制算法 1.1 FM 信号描述 1.2 FM 信号的带宽与功率分配 1.3 FM 信号的调制

    2024年02月16日
    浏览(24)
  • 通信原理与MATLAB(五):FM的调制解调

    FM是频率调制,是用基带信号控制载波的频率,其实现原理如下图所示,基带信号经过积分器,然后和载波信号一起输入给调相器,基带信号控制载波的频率,实现FM的调制。 FM的解调原理如下图所示,FM信号经过信道传输之后,通过鉴频器变成调幅调频波,然后经过移相器,

    2024年02月08日
    浏览(25)
  • Gnuradio 和 USRP 实现FM的调制与解调

    1. 硬件设备:HM B200mini; 天线 2. 软件环境: ubuntu 20.04; gnuradio 3.8; uhd 4.0; a. UHD: USRP Source USRP Source 块将通过在选定的天线上以特定频率、采样率和增益采样RF信号来产生基带样本。 b. Ratinal Resampler 因为USRP速率不是音频接收器速率的整数倍, 所以这里需要进行重采样。 c. WBFM Rec

    2024年02月12日
    浏览(21)
  • 【Verilog实现FPGA上的信号延迟】—— 用Verilog代码实现将信号延迟N拍,这是FPGA中非常重要的一个操作,可以使数据在不同模块之间精确同步。

    【Verilog实现FPGA上的信号延迟】—— 用Verilog代码实现将信号延迟N拍,这是FPGA中非常重要的一个操作,可以使数据在不同模块之间精确同步。 模块是FPGA中最基本的构建模块。通常一个模块代表一个电路,包括输入、输出和处理逻辑。模块中包含的处理逻辑被称为时序逻辑。

    2024年02月04日
    浏览(61)
  • 【通信原理(含matlab程序)】实验二:FM的调制和解调

    💥💥💞💞欢迎来到本博客❤️❤️💥💥 本人持续分享更多关于电子通信专业内容以及嵌入式和单片机的知识,如果大家喜欢,别忘点个赞加个关注哦,让我们一起共同进步~ 理解FM基本原理、FM信号时域和频域主要特点; 理解FM信号调制和解调的实现方法; 掌握matlab程序

    2024年02月08日
    浏览(25)
  • FIR 基础应用 - FM 调频波调制解调(FIR 低通滤波)

    本文链接:https://blog.csdn.net/qq_46621272/article/details/125337119 FIR 基础应用 - FM 调频波调制解调(FIR 低通滤波) 这是 XILINX FIR IP 详解、Verilog 源码、Vivado 工程 这篇文章的实验部分,用 FIR 低通滤波实现了调频波的解调输出。 和上篇文章 FIR 基础应用 - AM 调幅波调制解调(FIR 低通滤波

    2024年02月08日
    浏览(33)
  • 基于matlab实现双路音频信号的AM调制与解调

    使用audioread读取音频信号,将采样率设置为100kHz,并利用awgn函数为信号添加高斯白噪声。 分别绘制两路音频信号的时频域图。 结果如下: 设置两路不同频率的载波,这里设置频率分别为35kHz和25kHz,载波频率可以根据需要进行更改,但是注意需要满足奈奎斯特采样定理,即

    2023年04月08日
    浏览(38)
  • 基于FPGA的2FSK调制解调系统

            FSK作为数字通信中不可或缺的一种调制方式,其具有抗干扰能力强,不受信道参数的影响的优点,为此,设计合适的FSK调制解调系统便具有重要意义。   该系统产生主要分为三个步骤:         产生方式:通过matlab软件编程生成一个.mif文件,存放正弦波一个周期

    2024年02月03日
    浏览(32)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包