FPGA学习笔记(二)——Modelsim仿真、testbench编写

这篇具有很好参考价值的文章主要介绍了FPGA学习笔记(二)——Modelsim仿真、testbench编写。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

我的Modelsim-Altera是在安装Quartus13.0时下载的,里面会有选项,安装初学者版本就可以,在Quartus18.0里也可以使用。

一、设置Quartus和Modelsim的关联路径

FPGA学习笔记(二)——Modelsim仿真、testbench编写

FPGA学习笔记(二)——Modelsim仿真、testbench编写

这样就完成了关联设置,再次进行仿真就不会出现上篇文章出现的报错了。

FPGA学习笔记(二)——Modelsim仿真、testbench编写 sel为1的时候,out与a一致;sel为0的时候,out与b一致。 

这只是简单的波形仿真,下面来学习更加强大的Modelsim仿真。  

二、用Verilog编写测试脚本testbench

1.File——New

FPGA学习笔记(二)——Modelsim仿真、testbench编写

2.保存在testbench文件夹,命名时在被测模块名后面加tb

FPGA学习笔记(二)——Modelsim仿真、testbench编写

 3.编写代码

FPGA学习笔记(二)——Modelsim仿真、testbench编写

4.编译通过

FPGA学习笔记(二)——Modelsim仿真、testbench编写

三、调用testbench、RTL功能仿真

1.打开设置

FPGA学习笔记(二)——Modelsim仿真、testbench编写

 2.设置仿真脚本

 FPGA学习笔记(二)——Modelsim仿真、testbench编写

3.点击New,调用testbench文件

FPGA学习笔记(二)——Modelsim仿真、testbench编写 

FPGA学习笔记(二)——Modelsim仿真、testbench编写 

名称保持一致

FPGA学习笔记(二)——Modelsim仿真、testbench编写 

FPGA学习笔记(二)——Modelsim仿真、testbench编写 

FPGA学习笔记(二)——Modelsim仿真、testbench编写 

 4.开始仿真

FPGA学习笔记(二)——Modelsim仿真、testbench编写

RTL是理想化功能仿真(不考虑逻辑延迟),GateLevel是门级仿真,可以仿真布局布线和延迟

先进行RTL功能仿真

FPGA学习笔记(二)——Modelsim仿真、testbench编写Modelsim窗口 

点击这里,使波形窗口独立出来,便于分析

 FPGA学习笔记(二)——Modelsim仿真、testbench编写

 想要放大或者缩小波形的话,点击这里

FPGA学习笔记(二)——Modelsim仿真、testbench编写

 FPGA学习笔记(二)——Modelsim仿真、testbench编写

可见,s3=0时,Light1波形与s2一致; s3=1时,Light1波形与s1一致。
s1——a;s2——b;s3——sel;Light1——out。
这与本文第一章的波形仿真结果相同。实现了二选一多路选择器的功能。

四、Modelsim常用操作

1.添加模块内部信号

FPGA学习笔记(二)——Modelsim仿真、testbench编写

选择 Add Wave ,然后回到Modelsim

FPGA学习笔记(二)——Modelsim仿真、testbench编写

由于之前仿真时没有加载这部分波形,所以只能重新运行仿真

点击Restart——OK,再点击RUN-All

FPGA学习笔记(二)——Modelsim仿真、testbench编写  FPGA学习笔记(二)——Modelsim仿真、testbench编写

 可以看到两组波形是完全相同的。

2.关闭路径

FPGA学习笔记(二)——Modelsim仿真、testbench编写

FPGA学习笔记(二)——Modelsim仿真、testbench编写 

3.波形分组

Ctrl+鼠标左键 选中要分组的端口,右键,选择Group

FPGA学习笔记(二)——Modelsim仿真、testbench编写 

FPGA学习笔记(二)——Modelsim仿真、testbench编写 

FPGA学习笔记(二)——Modelsim仿真、testbench编写 

结语

门级仿真部分在下一篇文章介绍,欢迎大家和我一起学习~文章来源地址https://www.toymoban.com/news/detail-414299.html

到了这里,关于FPGA学习笔记(二)——Modelsim仿真、testbench编写的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA TestBench编写学习

    timescale 指令用于指定编译器在处理仿真时的时间单位和时间精度。这个指令通常在模块的顶层声明中使用,它告诉编译器和仿真器如何解释代码中的时间值。 timescale 指令的语法如下: time_unit :这是仿真中使用的时间单位,通常以纳秒(ns)或微秒(us)为单位。例如,如果

    2024年03月14日
    浏览(47)
  • 在quartus ii中创建testbench,并使用Modelsim仿真

    1.创建testbench Processing→Start→Start Test BenchTemPlate Writer 得到如下提示,表示创建成功: 2.打开并编辑测试脚本 用“打开文件夹”打开工程所在的文件夹→simulation→modelsim→“文件类型”按图所示更改→选择.vt格式文件,打开 文件中,注释掉或删掉$display(“Running testbench”); 和

    2024年02月02日
    浏览(27)
  • 学习如何独立的使用Modelsim进行仿真验证?——编写verilog文件并查看仿真波形

    本篇记录如何独立的使用Modelsim进行仿真,便于之后查看。 Modelsim独立仿真的步骤: 创建工作文件夹——编译设计文件——导入及运行仿真——调试结果 具体的: 1、新建一个工程 指定工程名称、路径和默认库名称。一般情况下,设定Default Library Name默认库名称为work。 指定的

    2023年04月08日
    浏览(31)
  • 使用Verilator仿真基于Verilog编写的testbench并用GTKWave查看波形

    Verilator是一个开源的Verilog、SystemVerilog仿真EDA。 它进行仿真的第一步称为“verilate”,将编写好的.v/.sv文件转化成为C++编写的类和方法。 第二步则是建立C++运行环境wrapper file,在里面编写的main函数用于例化第一步里生成的和Verilator自带的仿真不可缺少的类,之后运行Verilato

    2024年02月09日
    浏览(35)
  • 【安路FPGA】联合modelsim仿真

    第一在modelsim安装目录下新建一个文件夹Anlogic用来存放TD库文件: 打开modelsim仿真软件,feil→change Directory,选择刚才新建文件目录,在新建一个Library库如图所示: TD库文件al与common是必须要添加的两个库,我的芯片是ph1系列所以添加ph1 在去到这个路径会发现多了三个文件夹,在

    2024年02月08日
    浏览(38)
  • 【FPGA】Quartus项目工程创建以及联合Modelsim进行仿真(FPGA项目创建与仿真)

    目录 软件下载 Quartus中设置Modelsim-Altera仿真器 创建新项目 编写项目代码 编写测试模块   这里使用的是Quartus Prime Light 18.1 以及ModelSim Intel FPGA 10.5b,两个软件在同一个地方下载,附网址https://www.intel.com/content/www/us/en/software-kit/665990/intel-quartus-prime-lite-edition-design-software-version-

    2024年03月15日
    浏览(56)
  • FPGA设计——verilog实现乒乓操作并modelsim仿真

    乒乓操作是FPGA设计中常用的一种技巧,它通过数据流控制实现按节拍相互配合的切换,来提高数据处理效率,达到无缝缓冲和处理的效果。本文针对乒乓操作进行学习总结。 完整工程 一、原理图如下 : 1、二选一控制器来对缓冲模块1和2进行选择。 2、数据缓冲模块一般就是

    2023年04月08日
    浏览(32)
  • 基于FPGA的AES加密解密vivado仿真,verilog开发,包含testbench

    目录 1.算法描述 2.仿真效果预览 3.verilog核心程序 4.完整verilog          AES, 高级加密标准, 是采用区块加密的一种标准, 又称Rijndael加密法. 严格上来讲, AES和Rijndael又不是完全一样, AES的区块长度固定为128比特, 秘钥长度可以是128, 192或者256. Rijndael加密法可以支持更大范围的区

    2024年02月01日
    浏览(42)
  • 紫光同创 FPGA 开发跳坑指南(三)—— 联合 Modelsim 仿真

        Modelsim 是 FPGA 开发中重要的 EDA 设计仿真工具,主要用于验证数字电路设计是否正确。紫光 Pango Design Suite 开发套件支持联合 Modelsim 仿真,这里作简要的介绍。 方法一     打开 Pango Design Suite,点击 Tools - Compile Simulation Libraries,在弹出来的窗口中,设置需要编译

    2023年04月10日
    浏览(45)
  • 【FPGA】双线性差值实现图像缩放,使用modelsim和matlab仿真验真

    双线性插值,又称为双线性内插。在数学上,双线性插值是有两个变量的插值函数的线性插值扩展,其核心思想是在两个方向分别进行一次线性插值。 双线性插值作为数值分析中的一种插值算法,广泛应用在信号处理,数字图像和视频处理等方面。 网上理论知识一大把,反

    2024年02月12日
    浏览(32)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包