Vivado 2018.3入门教程(三):生成比特流文件+硬件连接

这篇具有很好参考价值的文章主要介绍了Vivado 2018.3入门教程(三):生成比特流文件+硬件连接。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

这是我的Vivado 2018.3入门教程(三):生成比特流文件+硬件连接
我的Vivado 2018.3入门教程大致分为四个部分:
Vivado 2018.3入门教程(一):创建工程+新建源文件.
Vivado 2018.3入门教程(二):逻辑编写+IO配置.
Vivado 2018.3入门教程(三):生成比特流文件+硬件连接.

想要完整的进行一个实验的话,可以参考这个教程!
FPGA实战(一)LED闪烁实验.

(5) 生成比特流文件

然后点击生成比特流文件
点击yes,
Vivado 2018.3入门教程(三):生成比特流文件+硬件连接
生成的过程需要一定的时间哦!

再点击OK
此时可以看到右上角有一个转动的圆圈,说明正在生成中
Vivado 2018.3入门教程(三):生成比特流文件+硬件连接点击这个键,可以查看进度

Vivado 2018.3入门教程(三):生成比特流文件+硬件连接
成功生成之后,会自动弹出这个图,看到这个图的时候,说明比特流文件生成成功!
如果不成功的话点击这儿哦,可以看看报错来解决.
Vivado 2018.3入门教程(三):生成比特流文件+硬件连接

(6) 硬件调试

打开硬件调试窗口

方法一:直接点击"cancel",然后手动打开左下角的硬件调试串口
Vivado 2018.3入门教程(三):生成比特流文件+硬件连接
方法二:如图所示,然后点击OK
Vivado 2018.3入门教程(三):生成比特流文件+硬件连接

连接好硬件,打开电源

连接好硬件之后,open target -> autoi connect
Vivado 2018.3入门教程(三):生成比特流文件+硬件连接
这个表示识别出来了!
Vivado 2018.3入门教程(三):生成比特流文件+硬件连接
Vivado 2018.3入门教程(三):生成比特流文件+硬件连接直接点击即可
Vivado 2018.3入门教程(三):生成比特流文件+硬件连接最后,应该就能看到现象了!

注意,如果在硬件调试窗口改动代码,是没有用的!
关键在于,你已经生成了一个比特流文件(类似于编译
修改代码当然没有用了

所以正确做法:(硬件调试期间)如果修改了代码,那就得重新生成了新的比特流文件了

至于每次生成比特流文件的时间
没办法咯!

我的Vivado 2018.3入门教程就到这儿结束啦文章来源地址https://www.toymoban.com/news/detail-419848.html

欢迎访问我的FPGA专栏.,希望对你有帮助!

到了这里,关于Vivado 2018.3入门教程(三):生成比特流文件+硬件连接的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • XILINX VIVADO2018.2官方下载全教程记录.

    毕设涉及FPGA,准备记录一下准备过程。 首先是Vivado的下载过程。 1.进入赛灵思下载官网。(https://www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/vivado-design-tools/archive.html) 2.注册用户(已有账号跳过) 按照指示注册好账号(过于简单就不说了。。) 3.登陆上账号,再次

    2024年02月13日
    浏览(75)
  • 【教程篇】AI绘画Stable Diffusion入门教程之提示词和生成图片步骤 最简单上手方法

    实在不会就照葫芦画瓢~ 这个主要是说电脑端,本地使用Stable Diffusion软件出图的教程,手机用户和移动端用户请看副推文~ 这是一个直接给出图照葫芦画瓢的教程,给0基础小白上手出图的一个简单教程,更多东西还是要自己多去学习和摸索,下面给出的也只是根据我本地测试

    2024年02月21日
    浏览(123)
  • 【常见 error】Vivado生成比特流时报错[DRC NSTD-1]和[DRC UCIO-1]

    目录   问题描述 错误信息翻译 解决办法 添加约束 执行TCL命令 更改顶层选项   今天在生成工程的比特流时,出现如下的报错信息,经过上网查询相关错误的解决方案,以及自己摸索,发现可能是如下错误以及相应的解决方案。 [DRC NSTD-1] 未指定的I/O标准:108个逻辑端口中有

    2024年02月02日
    浏览(80)
  • 网页爬虫逆向与AST入门系列教程(三、使用工具生成和可视化AST)

    在前两篇文章中,我们学习了什么是AST以及它在网页爬虫逆向中的应用。本篇将介绍一些工具和方法,帮助我们生成和可视化AST。 1. AST生成工具 为了生成AST,我们可以使用一些现有的工具来解析代码并生成AST数据结构。这里介绍两个常用的工具: Esprima :Esprima是一个流行的

    2024年02月11日
    浏览(46)
  • Midjourney 【系列教程2】人工智能艺术创作从入门到精通·基础篇2:生成你的第一幅 AI 作品

    这期的教程我想一定会令你感到兴奋和激动。因为,我们即将开始生成属于自己的第一幅 AI 作品了! 在这里,我将会按照一个真实的例子带大家一步步进行,重点步骤及注意事项部分我将用红色文字或彩色文字为大家标出。 首先,进入你的 Discord 服务器,并在下面的对话框

    2024年02月08日
    浏览(38)
  • 【Maven教程】(三)基础使用篇:入门使用指南——POM编写、业务代码、测试代码、打包与运行、使用Archetype生成项目骨架~

    到目前为止,已经大概了解并安装好了Maven环境, 现在,我们开始创建一个最简单的 Hello World 项目。如果你是初次接触 Maven, 建议按照本文的内容 一步步地编写代码并执行, 其中可能你会碰到一些概念暂时难以理解,但不用着急,记下这些疑难点,我在后续文章中会逐一进行

    2024年02月11日
    浏览(29)
  • Vivado2018.03 修改编程界面字体

    首先来说,作为一只fpga小菜狗,水平不高但是总想用一个舒服的文本编辑器,无奈Vivado作为fpga开发的扛把子之一,很难像python、C一样有visual studio 这样的编辑器。一直觉得vivado的界面设计的不够好看,可能俺是土狗。 可以在vivado设置文本编辑器为notepad++,这个教程很多,此

    2024年02月08日
    浏览(35)
  • Yalmip入门教程(1)-入门学习

            博客中所有内容均来源于自己学习过程中积累的经验以及对yalmip官方文档的翻译:YALMIP         Yalmip的作者是Johan Löfberg,是由Matlab平台编程实现的一个免费开源数学优化工具箱,在官网上就可以下载。官方下载链接如下: Download - YALMIP         下载时可以选

    2024年02月15日
    浏览(38)
  • 瑞萨MCU入门教程(非常详细的瑞萨单片机入门教程)

    得益于瑞萨强大的MCU、强大的软件开发工具(e² studio),也得益于瑞萨和RA生态工作室提供的支持,我们团队编写了《ARM嵌入式系统中面向对象的模块编程方法》,全书37章,将近500页: 讲解面向对象编程在单片机开发中的使用 结合FSP软件包实例分析外设驱动 讲解如何使用RASC配

    2024年02月08日
    浏览(31)
  • Ubuntu安装ISE14.7与Vivado2018.2

    因为电脑升win11后ise14.7无法成功安装,而且同时要用ise和vivado,只好在虚拟机下装了。 ise14.7官方文档中说只支持RHEL6/7以及SUSE,但实际上ubuntu也可以。不过不能用22.04版本……最终选择使用18.04。 这篇文章主要记录了从安装ubuntu到安装ise和vivado最后到软件配置时的流程和遇见

    2023年04月08日
    浏览(34)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包