解决VIvado编程中遇到的bug I

这篇具有很好参考价值的文章主要介绍了解决VIvado编程中遇到的bug I。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

解决VIvado编程中遇到的bug I
1.[DRC MDRV-1] Multiple Driver Nets: Net has multiple drivers: GND/G, and VCC/P.

解决: 这个问题很常见,reg变量被在不同的always模块同时赋值

2.[Designutils 20-1307] Command ‘get_ports{led4_tri_io[0]}’ is not supported in the xdc constraint file. [“***/test_EMIO_LED.srcs/constrs_1/new/system.xdc”:1]

该IO口约束为:

set_property IOSTANDARD LVCMOS33 [get_ports{led4_tri_io[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports{led4_tri_io[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports{led4_tri_io[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports{led4_tri_io[3]}]


set_property PACKAGE_PIN AJ24 [get_ports {led4_tri_io[0]}]
set_property PACKAGE_PIN AK18 [get_ports {led4_tri_io[1]}]
set_property PACKAGE_PIN AB29 [get_ports {led4_tri_io[2]}]
set_property PACKAGE_PIN AC27 [get_ports {led4_tri_io[3]}]

**解决:**在去掉get_ports {led4_tri_io[0]} 中的 {} 后, bit就能顺利生成了

3.【place 30-99】 placer failed with error , there are more instances than sites for type XADC.

vivado中同时调用XADC核和DDR3核时,会报错 上述错误,
解决 :将DDR3核中xadc部分设置为disable文章来源地址https://www.toymoban.com/news/detail-426148.html

到了这里,关于解决VIvado编程中遇到的bug I的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 软件工具安装遇到bug、报错不知道怎么解决?看这里!

    本文举例了几个常见的软件工具使用问题,文末会提供一些我自己整理和使用的工具资料 。 \\\"在追逐零 Bug 的路上,我们不断学习、改进,更加坚定自己的技术信念。让我们相信,每一个 Bug 都是我们成长的机会。\\\"  1、VMware虚拟机未开启虚拟化 VT-x AMD-V 英特尔 Intel CPU   AMD

    2024年02月21日
    浏览(42)
  • Vue 3 开发中遇到的问题及解决方案(fix bug)

    开发环境:mac系统,node版本: 16.15.0 解决方案1 升级或降级(版本) 思路 Vue和pinia 版本不兼容;Vue 的版本为 “vue”: “^3.2.47”, pinia的版本为 “pinia”: “^2.0.36” 解决过程 升高pinia的版本 “pinia”: “^2.0.36”, ==》 “pinia”: “^2.0.36”, 先删除pinia npm uni pinia 再重新安装 y

    2024年02月04日
    浏览(39)
  • 【BUG历险记】vivado报错:binding vhdl entity ‘ xxxxx ‘does not have port ‘ xxxxx ‘解决方案

    🏔【BUG历险记】 vivado报错:binding vhdl entity xxxxx \\\' does not have port \\\' xxxxx \\\'解决方案 我在编写雷达的脉冲压缩仿真时,先例化了FFT和复数乘法器,并仿真验证通过了;再例化IFFT,结果仿真时出现错误,前面仿真通过的复数乘法器报错。说是没有aclk引脚,实际上是有的。 更改代

    2024年02月12日
    浏览(28)
  • CentOS7上部署langchain-chatglm或stable-diffusion可能遇到的Bug的解决方案

    进入你的代码目录下 下载依赖 这里可能有的朋友会有问题会出现某些包下载不了,这里建议直接使用阿里源即可,在确定你的cuda版本之后(使用nvidia-smi确定cuda版本) 命令行执行 卸载掉刚才pip安装的版本!!!!因为此处安装的版本还缺少cuda的支持,确定卸载掉之后 执行 此处X为

    2024年02月16日
    浏览(30)
  • 遇到无法复现的 Bug

    当我们在软件开发过程中遇到无法复现的 Bug 时,这可能会让我们感到头疼和困惑。处理这种 Bug 需要一些技巧和方法来帮助我们更好地解决问题。本篇博客将为大家总结一些常用的技术手段和策略,希望能对开发者们在日常工作中遇到类似问题时提供一些帮助。 在软件开发

    2024年02月13日
    浏览(32)
  • 【bug】使用mmsegmentaion遇到的问题

    使用的环境是cuda11.3+pytorch1.11.0+torchaudio0.11+torchvison0.12.0 由于之前用自己数据集跑过internImage,想投机取巧把internImage自定义的配置文件拿过来直接用,果不其然报错了。 还是借鉴mmsegmentaion中/configs/ base /datasets/ade20k.py,将文件修改成适合自己数据集的配置 训练是正常的,但是

    2024年04月25日
    浏览(23)
  • idea编译时遇到的bug

    问题描述: D:workspacesparksrcmainScalaWordCount.scala:3:8 WordCount is already defined as object WordCount object WordCount { 解决办法 参考博客:Error:(21, 8) FlumePushWordCount is already defined as object FlumePushWordCount object FlumePushWor_WSQ(E)的博客-CSDN博客 问题描述: Error running \\\'wcPerson\\\': Command line is too long

    2024年02月13日
    浏览(26)
  • 小爬虫项目遇到的bug

    项目背景是这样的, 三个请求数据的接口,是标准的 JWT 认证,提前五分钟刷新token,这个操作是在每次请求数据之前都会进行的检查。 业务场景是这样的: 每个账号都需要请求三个接口,为了简单就做成了定时任务的模式,所以每个账号下就会有三个任务,每次刷新完to

    2024年02月08日
    浏览(34)
  • 【Vue】路由学习中遇到的BUG

    最近路由学习中遇到了各式各样的飚红,记录下来供大家参考( 其实是怕下次再错X^X 无法读取 undefined 的属性 routes配置中不是components而是component ( 自动提示害人不浅 将components改为component \\\'XXXXX\\\' 未被定义 组件中的name写成变量形式,而变量不存在 将name中所写变量形式改为字

    2024年01月19日
    浏览(58)
  • VS CUDA OpenCV编程 遇到gpu端核函数 应输入表达式的报错解决办法

    最近在做开发时,用到了cuda和opencv结合的使用方法。其中,cuda能够提供的公式就那么多,所以打算自己写一个核函数来实现自己想要实现的算法。结果遇到了核函数调用的时候报错,提示应输入表达式。 经过在网上查找,大家的解决办法基本上都说在cu文件中出现没事,可

    2024年02月08日
    浏览(39)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包