解决VIvado编程中遇到的bug I
1.[DRC MDRV-1] Multiple Driver Nets: Net has multiple drivers: GND/G, and VCC/P.
解决: 这个问题很常见,reg变量被在不同的always模块同时赋值
2.[Designutils 20-1307] Command ‘get_ports{led4_tri_io[0]}’ is not supported in the xdc constraint file. [“***/test_EMIO_LED.srcs/constrs_1/new/system.xdc”:1]
该IO口约束为:
set_property IOSTANDARD LVCMOS33 [get_ports{led4_tri_io[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports{led4_tri_io[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports{led4_tri_io[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports{led4_tri_io[3]}]
set_property PACKAGE_PIN AJ24 [get_ports {led4_tri_io[0]}]
set_property PACKAGE_PIN AK18 [get_ports {led4_tri_io[1]}]
set_property PACKAGE_PIN AB29 [get_ports {led4_tri_io[2]}]
set_property PACKAGE_PIN AC27 [get_ports {led4_tri_io[3]}]
**解决:**在去掉get_ports {led4_tri_io[0]} 中的 {} 后, bit就能顺利生成了文章来源:https://www.toymoban.com/news/detail-426148.html
3.【place 30-99】 placer failed with error , there are more instances than sites for type XADC.
vivado中同时调用XADC核和DDR3核时,会报错 上述错误,
解决 :将DDR3核中xadc部分设置为disable文章来源地址https://www.toymoban.com/news/detail-426148.html
到了这里,关于解决VIvado编程中遇到的bug I的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!