点亮第一个LED灯

这篇具有很好参考价值的文章主要介绍了点亮第一个LED灯。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

1、LED原理

单向导电性,3mA-20mA之间。
怎么判断阴阳极。直插式:长的是阳极,短的是阴极。
贴片式 无箭头彩色的是阳极。

2、硬件设计

阳极为高电平,阴极为低电平,电流才能过来。两端都是高电平,电流流不过来,为什么?
让LED发光即对应的阴极管脚应该为低电平,若为高电平则熄灭。

3、软件设计

sfr P0 = 0x80;语句的意义,把单片机内部地址0x80处的这个寄存器重新起名P0。
sbit CY = PSW^7 将PSW这个寄存器的最高位重新命名为CY。

3.1、点亮第一个LED灯

点亮D1指示灯,即让P2.0管脚输出一个低电平。完成后可再控制D1指示灯闪烁,即间隔一段时间点亮和熄灭D1指示灯。

汇编代码

3.2、LED闪烁实验

延时函数文章来源地址https://www.toymoban.com/news/detail-426592.html

3.3、LED流水灯实验

#include "reg52.h"

typedef unsigned int u16
typedef unsigned char u8

#define DELAY_TIME 50000
#define LED_PORT P2

void delay_10us(u16 time)
{
	while(time--);
}
void main()
{
	u8 i;
	for (i = 0; i < 8; i++)
	{
		LED_PORT = ~(0x01<<i);
		delay_10us(DELAY_TIME);
	}
}

3.4、拓展LED闪烁 ,有节奏闪烁

LED_PORT = ~(0xFF)  //给引脚低电平

到了这里,关于点亮第一个LED灯的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 51单片机 | LED点阵实验 | 点亮一个点 | 显示数字 | 显示图像

      开发板上使用了 64 个红色 LED 按照行列排布组成的 8*8 LED 点阵。下面介绍 LED 点阵的使用。    LED 点阵 是由发光二极管排列组成的显示器件,在我们日常生活的电器中随处可见,被广泛应用于汽车报站器,广告屏等。如下所示:   通常应用较多的是 8*8 点阵,然后使

    2023年04月08日
    浏览(31)
  • 关于MSP432E401Y入门指南(1 环境搭建&点亮一个led灯)

    可以使用keil5和ccs开发,这里ccs使用不惯,因此采用keil5开发 一个工程模板test,已经将启动文件、头文件和源文件添加进入 工程示例:链接:https://pan.baidu.com/s/1707PoqR2LP44s1vLjzPH4w 提取码:fghj 文档资料:链接:https://pan.baidu.com/s/1-GMRFdwazVyhh0X0NFi09A 提取码:utsx Pack:链接:htt

    2024年02月14日
    浏览(27)
  • 【蓝桥杯嵌入式】点亮LED灯,流水灯的原理图解析与代码实现——STM32

    🎊【蓝桥杯嵌入式】专题正在持续更新中,原理图解析✨,各模块分析✨以及历年真题讲解✨都在这儿哦,欢迎大家前往订阅本专题,获取更多详细信息哦🎏🎏🎏 🪔本系列专栏 -  蓝桥杯嵌入式_勾栏听曲_0的博客 🍻欢迎大家  🏹  点赞👍  评论📨  收藏⭐️ 📌个人主

    2024年02月03日
    浏览(38)
  • 学习笔记|LED点亮原理|三极管在数字电路中的应用|Keil中的Tab设置|C51中对准双向口|STC32G单片机视频开发教程(冲哥)|第四集-上:点亮LED

    为什么LED能点亮? 概念引入:输出电压=VCC就是高电平,输出电压 =GND(一般是OV)就是低电平,分别用1和0来表示,这个是理想值。 现在STC 的带硬件USB的MCU支持用硬件USB下载,因为用的是USB-HID通信协议,不需要安装任何驱动。STC打狗棒、降龙棍、开天斧、屠龙刀核心板以及STC开

    2024年02月14日
    浏览(24)
  • STM32F103ZET6 GPIO工作模式介绍+使用寄存器点亮第一个LED灯

    目录  GPIO的工作模式介绍 1.输入模式(模拟、上拉、下拉、浮空) 2.输出模式(推挽/开漏) 3.复用功能(推挽/开漏) 4.模拟输入输出(上下拉无影响) 如何使用寄存器点亮第一个LED灯         在输入模式时,施密特触发器打开,输出被禁止。可通过输入数据寄存器 GPIOx_

    2024年02月06日
    浏览(41)
  • STM32 F103C8T6学习笔记2:GPIO的认识—GPIO的基本输入输出—点亮一个LED

    今日继续学习使用  STM32 F103C8T6开发板 点亮一个LED灯,文章提供源码,测试工程,实验效果图,希望我的归纳总结会对大家有帮助~ 目录 GPIO的认识与分类 : 引脚安排整理: 定时器的引脚例举: 串口的引脚例举:  CAN串口通信: SPI通信: IIC通信:  其余引脚: 烧录引脚:

    2024年02月11日
    浏览(35)
  • 【小黑嵌入式系统第八课】初识PSoC Creator™开发——关于PSoC Creator&下载、创建项目、单片机中的hello world(点亮一个led)

    上一课: 【小黑嵌入式系统第七课】PSoC® 5LP 开发套件(CY8CKIT-050B )——PSoC® 5LP主芯片、I/O系统、GPIO控制LED流水灯的实现 下一课: 【小黑嵌入式系统第九课】PSoC 5LP第一个实验——LED、字符型LCD显示实验 本课程主要介绍了 PSoC® 5LP, 一个基于 ARM® Cortex®-M3 的可编程片上系

    2024年02月03日
    浏览(44)
  • 【ESP32DEVKITV1学习笔记】WiFi连接网络点亮一盏LED灯|手机APP点亮LED

    拖延症间歇性康复,让我把这个笔记再补充一下下:使用手机APP控制LED的亮灭。 需要注意:本文章仅演示手机APP控制LED亮灭的简单功能,所以使用的是板载的LED,有更多需求的朋友可以根据自己的实际情况来修改例程,以满足自己具体需求。 用户可通过手机APP对LED的亮灭进

    2024年02月09日
    浏览(47)
  • ARM--LED灯点亮

     LED1,LED2,LED3亮灯      

    2024年02月15日
    浏览(27)
  • FPGA——点亮led灯

    quartus18.1 vscode Cyclone IV开发板 每间隔1S实现led灯的亮灭,实现流水灯的效果。 3.1 编写verliog程序 3.2 引脚配置 4.1 仿真代码 4.2仿真结果 本次实验主要是学习verilog的基础语法,掌握计数器的编写以及时序逻辑的设计方法。另外在进行仿真的时候需要修改计数器的大小,因为我们

    2024年02月16日
    浏览(30)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包