[VCS、verdi、makefile] 联合仿真 ——步骤

这篇具有很好参考价值的文章主要介绍了[VCS、verdi、makefile] 联合仿真 ——步骤。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

目录

1、vcs、verdi简介和安装

2、Verdi的配置

3、vcs与Verdi联合仿真的Makefile脚本

1、基于Verilog系统函数Makefile脚本

1.1 先在tb文件中添加代码段,仿真后可以产生.fsdb文件

 1.2 Makefile写法

2、基于ucli/tcl接口Makefile脚本

4、Verdi的使用


1、vcs、verdi简介和安装

      建议去淘宝买别人别人装好的环境,自己整比较麻烦。

2、Verdi的配置

verdi环境配置(gvim ~/.bashrc),主要是在  .bashrc中配置下面这三个参数:

  • VERDI_HOME/NOVAS_HOME:  其实就是Verdi的安装路径
  • PATH  : 在Verdi的安装路径中,把Verdi的快捷键找出来
  • LD_LIBRARY_PATH  :  让Verdi找到相应的库文件,从而进行相应的仿真
export SNPSYS_HOME=/opt/synopsys
export VERDI_HOME=$SNPSYS_HOME/verdi
export PATH="$PATH:$VERDI_HOME/bin:$VERDI_HOME/platform/LINUX64/bin"
export LM_LICENSE_FILE="$LM_LICENSE_FILE:$SNPSYS_HOME/Synopsys.dat"

3、vcs与Verdi联合仿真的Makefile脚本

Makefile脚本分文两种写法,第一种较为简单,对新手比较友好:

  • 基于Verilog系统函数Makefile脚本
  • 基于ucli/tcl接口Makefile脚本

3.1  基于Verilog系统函数Makefile脚本

3.1.1 先在tb文件中添加代码段,仿真后可以产生.fsdb文件

initial begin 
    $fsdbDumpfile("fifo.fsdb");
    $fsdbDumpvars(0);
end 

 3.1.2 Makefile写法

[VCS、verdi、makefile] 联合仿真 ——步骤

3.2  基于ucli/tcl接口Makefile脚本

    略

4、Verdi的使用

推荐一位良心up主讲解视频   bilibili新新新Icer文章来源地址https://www.toymoban.com/news/detail-428958.html

到了这里,关于[VCS、verdi、makefile] 联合仿真 ——步骤的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 逻辑仿真工具VCS的使用-Makefile

            Gvim写RTL code,VCS仿真,Verdi看波形,DC做综合下约束,Primetime做STA,Spyglass做异步时序分析。            VCS全称Verilog Computer Simulation ,VCS是逻辑仿真EDA工具的编译源代码的命令。要用VCS做编译仿真,首先得有一个RTL代码,比如我们写了一个全加器和全加器的

    2024年02月02日
    浏览(29)
  • linux环境下vcs+verdi的使用

    今日感想:学习只能慢慢搭积木,想一步登天可不行啊 在vcs仿真时调用$dump函数dump出fsdb文件,随后verdi load filelist和fsdb文件来进行debug 1、 vcs两步仿真:先编译文件,生成simv可执行文件;后进行仿真 (compilation and simulation) VCS:Verilog Compiler Simulator 问题一:当设计比较大的

    2024年02月11日
    浏览(33)
  • VCS与Verdi联仿,简要万能工程模板,持续更新中...

    一、背景 学习verilog,故用vcs来编译verilog,用verdi来查看波形。 提供一套简要verilog工程模板去执行教程中代码,并分析波形。 二、编写工程模块 建立工程在temp文件夹下新建文件夹/rtl、/sim、/tb,如下: 在/rtl文件夹下新建两个.v文件: template.v和timescale.v ,为模板rtl代码。

    2024年02月12日
    浏览(32)
  • linux VCS+verdi运行UVM实战(第二章)中的例子

    目录 前言 介绍 建立工程 运行代码 查看波形 总结 前言 用VCS+verdi运行了下UVM实战中的例子(第二章)。 在某宝上花了几十块,买了个虚拟机(已经安装好VCS+verdi)。直接用UVM实战中,现成的uvm代码跑了下。 UVM实战源码下载地址:UVM实战源码下载 书中DUT的功能:通过rxd接收

    2023年04月08日
    浏览(36)
  • Quartus使用步骤及联合Modelsim仿真教程

    #使用记录# 对于Quartus的安装步骤这里不再进行讲解,modelsim的安装步骤后续文章会进行讲解,这里也就不过多说明。言归正传,现在来开始我们的使用教程: 1、首先点击打开Quartus软件,博主这里使用的是22版本的,其他版本的步骤也基本一样。 2、我们可以看到如下界面,点

    2024年02月05日
    浏览(36)
  • Proteus创建新项目的详细操作步骤(keil和Proteus联合仿真)

    1、打开Proteus软件 2、创建新工程 点击New Project 设置工程名,点击browse找到想要存放工程的位置,可以新建一个文件夹,将本工程的东西可以都保存在一个文件夹中。然后点击next。 之后一路next还有Finish 3、绘制电路图 点P就可以搜索需要的芯片以及电子元件 找到需要的器件,

    2024年02月08日
    浏览(38)
  • vcs仿真教程(查看断言)

            VCS是在linux下面用来进行仿真看波形的工具,类似于windows下面的modelsim以及questasim等工具,以及quartus、vivado仿真的操作。 vcs的常见指令后缀 sim常见指令 命令:mkdir +文件名,例如:mkdir tst 然后直接把设计文件和仿真文件拷贝到tst7这个文件夹里。 打开终端,输入

    2024年02月10日
    浏览(35)
  • EDA07--VCS仿真验证(一)

    VCS用于在Linux下仿真.v代码,vcs六大功能: ·System Verilog ·OVA ·NTB ·DVE调试环境 ·覆盖率统计 ·DirectC ·增量编译 ·64-bit模式 ·混合信号仿真 本文讲解VCS的知识内容,具体操作步骤单独写一篇… VCS-DVE仿真由三步构成: 编译、仿真、调试 。提前编写好设计文件和Testbench的.v文件。

    2024年02月08日
    浏览(40)
  • Vivado工程怎么用VCS仿真

    在进行数字电路设计的的时候,我们用Vivado写了一个工程,但是大家都知道Vivado自带的仿真是很拉胯的,信号多了就很慢很不方便,很容易卡死,所以就需要用VCS去进行仿真,有2种方法。 1.首先也是最重要的一步,你需要把Vivado的所有的IP编译成VCS可以识别的库文件,因为你

    2023年04月08日
    浏览(39)
  • 【数字IC设计】VCS仿真DesignWare IP

    DesignWare是SoC/ASIC设计者最钟爱的设计IP库和验证IP库。它包括一个独立于工艺的、经验证的、可综合的虚拟微架构的元件集合,包括逻辑、算术、存储和专用元件系列,超过140个模块。DesignWare和 Design Compiler的结合可以极大地改进综合的结果,并缩短设计周期。Synopsys在DesignW

    2024年02月14日
    浏览(39)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包