Vivado时钟IP核

这篇具有很好参考价值的文章主要介绍了Vivado时钟IP核。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

时钟资源介绍

本文以Xilinx ZYNQ为例对FPGA的时钟资源进行介绍。

时钟资源主要有以下几方面:

全局时钟(Global clocks)为整个设备提供时钟;

区域时钟(Regional clocks)为一个区域(和相邻区域)的时钟提供时钟;

IO 时钟(IO clocks)为 IO 结构提供时钟;

时钟管理模块(Clock management tiles)提升时钟质量,如 MMCM(可动态调整)和 PLL。

Vivado时钟IP核

 全局时钟Clock Backbone是全局贯穿的主干道,借助HROW的道路可以为所有的同步原件提供时钟,也将整片FPGA分为左右两部分,局部时钟Clock Region又分为不同的区域,每个区域被HROW分为上下两部分。将上图中阴影部分单独拿出来,如下图:

Vivado时钟IP核

 BUFG:全局时钟缓冲器;BUFH:水平时钟缓冲器;BUFIO:IO时钟缓冲器;BUFR:区域时钟缓冲器;BUFMR(一般用不到);MMCMPLL都是为了提高时钟质量,但MMCM可以动态调整。

创建时钟IP核

先创建一个工程,并在设计文件中对端口做定义:

module ip_clk_wiz(
input sys_clk,
input sys_rst_n
    );
endmodule

1) IP Catalog; 

Vivado时钟IP核

2)搜索栏clock,并选择Clocking Wizard双击打开;

Vivado时钟IP核

 3)时钟命名;选择MMCM/PLL;选择平衡性能;选择输入频率(与外部晶振对应)

Vivado时钟IP核

 4)选择输出时钟个数与频率/相位;

Vivado时钟IP核

 5)根据芯片高/低电平复位有效,选择对应的复位类型。

Vivado时钟IP核

 创建成功:

Vivado时钟IP核

 从.veo文件复制IP模板,粘到设计文件中并将端口连接:

`timescale 1ns / 1ps

module ip_clk_wiz(
    input sys_clk,
    input sys_rst_n,
    
    output clk_out1,
    output clk_out2,
    output clk_out3,
    output clk_out4,
    output locked
    );
    
   clk_wiz_0 instance_name
   (
    // Clock out ports
    .clk_out1(clk_out1),     // output clk_out1
    .clk_out2(clk_out2),     // output clk_out2
    .clk_out3(clk_out3),     // output clk_out3
    .clk_out4(clk_out4),     // output clk_out4
    // Status and control signals
    .resetn(sys_rst_n), // input resetn
    .locked(locked),       // output locked
   // Clock in ports
    .clk_in1(sys_clk));      // input clk_in1
    
endmodule

TB:

`timescale 1ns / 1ps

module tb_ip_clk_wiz( );
    reg sys_clk;
    reg sys_rst_n;
    
    wire clk_100M;
    wire clk_100M_180de;
    wire clk_50M;
    wire clk_25M;
    wire locked;

    initial begin
        sys_clk =1'b0;
        sys_rst_n = 1'b0;
        #200
        sys_rst_n = 1'b1;    
    end
    always #10 sys_clk <= ~sys_clk;

    ip_clk_wiz ip_clk_wiz_u(
        .sys_clk(sys_clk),  
        .sys_rst_n(sys_rst_n),
                  
        .clk_out1(clk_100M),
        .clk_out2(clk_100M_180de),
        .clk_out3(clk_50M),
        .clk_out4(clk_25M),
        .locked(locked)   
    );
endmodule

跑仿真,得到波形图如下图:

Vivado时钟IP核

 文章来源地址https://www.toymoban.com/news/detail-431880.html

到了这里,关于Vivado时钟IP核的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Xilinx FPGA器件中时钟资源的说明以及使用 --ibufg ibufgds

    xilinx 时钟资源分为两种:全局时钟和第二全局时钟。 一、全局时钟资源 Xilinx 全局时钟采用全铜工艺实现,并设计了专用时钟缓冲与驱动结构,可以到达芯片内部任何一个逻辑单元,包括CLB、I/O引脚、内嵌RAM、硬核乘法器等,而且时延和抖动都很小。对FPGA设计而言,全局时

    2023年04月09日
    浏览(37)
  • XILINX-VIVADO IP参数化方法(XPM)

           XPM全称是Xilinx Parameterized Macros,是XILINX提供的一种IP参数化方法。传统的IP调用,我们需要进入到IP Catolog里选择自己需要的IP,这种调用方式的优点是比较直观,参数的设置图形化;但是它的弊端也很明显,就是每次修改IP参数都需要进入到图形化界面来修改然后保存

    2024年02月10日
    浏览(37)
  • 基于Xilinx vivado FFT ip进行信号频谱测量

    本文章使用Xilinx的fft ip完成了经过参数化的任意个信号的基频测量,完整代码以及代码解释在文章中给出。如有错误,希望指出。 ps:只使用了fft ip进行频率测量未测量幅度,为防止错误不对幅度测量进行讲解,且幅度测量因为未使用其中获得的幅度是未经处理的原始数据,

    2024年01月20日
    浏览(25)
  • Vivado时钟IP核

    本文以Xilinx ZYNQ为例对FPGA的时钟资源进行介绍。 时钟资源主要有以下几方面: 全局时钟 (Global clocks)为整个设备提供时钟; 区域时钟 (Regional clocks)为一个区域(和相邻区域)的时钟提供时钟; IO 时钟 (IO clocks)为 IO 结构提供时钟; 时钟管理模块 (Clock management tiles)

    2024年02月02日
    浏览(26)
  • Xilinx Vivado LDPC IP core,TSN IP core. 各种IP core

    1.Xilinx Vivado 官方 LDPC IP core; 2.Xilinx Vivado 官方 TSN IP core; 3.Xilinx Vivado 官方 Turbo码IP core; 4.各种其它Xilinx Vivado 官方 IP core。         LDPC码即低密度奇偶校验码(Low Density Parity Check Code,LDPC),它由Robert G.Gallager博士于1963年提出的一类具有稀疏校验矩阵的线性分组码,不仅有

    2024年02月14日
    浏览(29)
  • 【vivado】 clock wizard 时钟IP

    一、前言 MMCM和PLL是在FPGA设计中不可避免需要使用到的时钟资源,对于其功能及使用方法的理解是正确进行FPGA设计的前提。 二、Xilinx 时钟 IP配置 vivado中使用时钟向导(Clocking Wizard)配置时钟IP核,其框图如下: clk_in 输入时钟,一般为班上晶振引入时钟或者serdes恢复时钟以及其

    2024年04月26日
    浏览(25)
  • vivado中时钟ip核的调用

    时钟ip核(MMCM PPL),MMCM(混合模式时钟管理)和PPL(锁相环)是FPGA内部的时钟资源。 作用:对时钟网络进行一个系统级的时钟管理和偏斜控制,具有时钟倍频、分频、相位偏移等功能 一、7系列FPGA高层次时钟结构视图     Clock Region :区域时钟。 Clock Backbone :全局时钟线

    2024年01月19日
    浏览(36)
  • xilinx FPGA 除法器ip核(divider)的学习和仿真(Vivado)

    在设计中,经常出现除法运算, 实现方法 : 1、移位操作 2、取模取余 3、调用除法器IP核 4、查找表 简单学习除法器IP。 网上很多IP翻译文档,不详细介绍,记录几个重要的点: 1、三种算法模式(不同模式所消耗的资源类型不同) 2、分清除数和被除数;余数模式的选择 3、延

    2024年04月28日
    浏览(62)
  • 【colab】谷歌colab免费服务器训练自己的模型,本文以yolov5为例介绍流程

    目录 一.前言 二.准备工作 1.注册Google drive(谷歌云盘) Google Driver官网:https://drive.google.com/drive/ Colab官网:https://colab.research.google.com/ 2.上传项目文件 3.安装Colaboratory 4.colab相关操作和命令 5.项目相关操作  三.异常处理         本文介绍了在谷歌开放平台Google colab上租用免

    2023年04月08日
    浏览(39)
  • xilinx FPGA 乘法器ip核(multipler)的使用(VHDL&Vivado)

    一、创建除法ip核  可以选择两个变量数相乘,也可以选择一个变量输入数据和一个常数相乘 可以选择mult(dsp资源)或者lut(fpga资源) 可以选择速度优先或者面积优先 可以自己选择输出位宽 还有时钟使能和复位功能  二、编写VHDL程序:声明和例化乘法器ip核 三、编写仿真程

    2024年02月11日
    浏览(51)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包