EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

这篇具有很好参考价值的文章主要介绍了EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

目录

1,资源下载及quartus II 9.0的下载

2,建立一个测试工程;

3,编写VHDL程序和仿真文件

4,altera usb-blaster驱动识别失败解决


1,资源下载及quartus II 9.0的下载

链接:https://pan.baidu.com/s/1TXNG2aM4-7KR9Fx93zwgfQ 
提取码:s83x

提取完成,解压之后文件夹目录如下图:

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

安装程序在目录:数字系统设计实验\实验\Quartus软件及教程\Quartus安装软件,双击setup.exe,以管理员身份运行;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

运行之后按如下的图片进行操作:点击next;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 选择I accept the terms of the license agreement;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

这里可以随便输入; 

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

选择安装的路径;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 选择工程文件夹,可以随便选一个;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

选择complete; 

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

点击next;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 等待安装完成;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

选择是; 

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 选择取消即可;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

将目录:数字系统设计实验下的license.DAT文件复制到安装目录:altera1\90下;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决 EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

运行桌面上的32bit的quartusII,按下图操作;

选择第3个;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

点击红色框,选择刚才复制过来的license.DAT文件;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 选择tools里面的license setup;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 复制NIC的第一个也就是红色框的内容到生成的license.DAT文件中(以记事本方式打开);

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 将liscense.DAT中的两个红色框中的xxx换成刚才复制的内容后保存;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 之后再打开tools里面的liscense setup可以看到已经操作成功;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

2,建立一个测试工程;

 操作如下的图片所示;

选择file,new project;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 点击next;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

下图第一栏是工程保存的位置,第二栏是工程名字,第三栏是顶层文件实体的名字(后面的实体必须与该名字一样)这里建立一个名为h_adder的半加器工程文件;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 因为对应目录下没有project1这个文件夹所以提示创建一个名为project1的文件夹,选择是;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

点击next;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

选择对应的芯片,我学校发的是EPF10K20TC144-4,所以我选择它,然后点击next; 

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 点击next,然后完成;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

3,编写VHDL程序和仿真文件

 选择file,VHDL file;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

复制如下代码到文件中;

library ieee;
use ieee.std_logic_1164.all;
entity h_adder is
	port(
		A : in std_logic;
		B : in std_logic;
		SO: out std_logic;
		CO: out std_logic);
end entity h_adder;
architecture text of h_adder is
	begin
		SO <= A xor B;
		CO <= A and B;
end architecture text;

点击下图红色框的三角形运行,点击保存;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 注意红色框保存的文件名需要与前面创建工程文件时第三栏的h_adder一致;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 如果编译出现问题,比如将VHDL里面的实体名称改为h_add则会出现下图的顶层文件实体未定义的错误;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 解决方法是将Assignments的Device里面的General里的顶层文件实体改成与VHDL文件里面的实体h_add一致,或者将VHDL文件里面的实体h_add改成与顶层文件实体h_adder一致,这两种都可以:

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

比如用第一种; 

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 创建VHDL文件之后,再创建仿真文件,选择Vector Waveform file;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 创建之后,选择该文件,双击红色框的空白处;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

点击Node Finder; EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 按下图的步骤操作,再点击ok;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

添加完成后,按下图操作;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 效果如下图;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 选择process里面的simulator;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 选择功能仿真functional;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 点击generate functional simulator netlist,然后点击保存;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 下滑点击start,完成后点击report; 

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 最后的结果如下图,根据输入,可知测试没有问题;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

4,altera usb-blaster驱动识别失败解决

altera usb-blaster的驱动程序在目录:数字系统设计实验\altera-usb-blaster 驱动程序 for win10\Altera-usb-blaster;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

部分电脑因为驱动程序的数字签名问题,无法识别altera usb-blaster的驱动,所以需要禁用电脑的数字签名验证,从而成功的运行altera usb-blaster驱动;

具体操作如下的图片所示;

在设置搜索重置此电脑;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

选择高级启动,重新启动电脑;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

选择疑难解答;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

选择高级选项 ;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 然后重启;

EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决

 后面会进入输入密匙的界面,可以找电脑客服询问相关操作,得到密匙之后,输入正确密匙后,选择禁用驱动程序强制签名,再重新启动即可;文章来源地址https://www.toymoban.com/news/detail-432579.html

到了这里,关于EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Synopsys EDA数字设计与仿真

    搭建EDA环境 参考如下博文安装Synopsys EDA开发工具 https://blog.csdn.net/tugouxp/article/details/132255002?csdn_share_tail=%7B%22type%22%3A%22blog%22%2C%22rType%22%3A%22article%22%2C%22rId%22%3A%22132255002%22%2C%22source%22%3A%22tugouxp%22%7D Synopsys EDA工具的结构 下面使用Synopsys的EDA数字综合仿真工具直观感受以下数字设

    2024年02月12日
    浏览(28)
  • 数字电路EDA综合设计verilog笔记(持续更新)

    目录 1、常用组合电路模块的设计 1、基本门电路(常用3种描述方法) 2、译码器与编码器 (1)译码器(decoder) (2)编码器(encoder) 2、常用时序电路模块的设计 1、D触发器 2、数据锁存器 3、实用电路设计 1、基本门电路 2、译码器与编码器 3、数据选择器 4、奇偶校验产生器

    2024年02月09日
    浏览(34)
  • EDA技术Verilog HDL语言完成数字钟设计

    Quartus Ⅱ安装包 链接:https://pan.baidu.com/s/12NbAX8J6XBZ7SQAxSj4H_A 提取码:cokm 主要内容: 1.数字钟系统具有时钟、清零和校准输入信号; 2.在系统时钟信号(1Hz)作用下,能显示时、分、秒; 3.时/分/秒计数器为24进制/60进制/60进制; 4.系统可以对时、分校准。校准信号有两

    2024年02月11日
    浏览(31)
  • 数电课设数字钟设计(基于quartus)

            数字钟是一种利用数字电路技术实现时、分、秒计时的钟表。与机械钟相比具有更高的准确性和直观性,具有更长的使用寿命,已得到广泛的使用。数字钟的综合性较强,将数字钟作为数电实验大作业的选题不仅可以加深对数电相关理论知识如计数器、组合逻辑电

    2024年02月05日
    浏览(35)
  • EDA实验(Quartus Ⅱ+fpga) (五)---多功能数字钟设计

    本实验代码为初学FPGA所写,逻辑不太清晰,请跳往下面网址查看最新的模块化设计数字钟,更易看懂 模块化多功能数字钟设计 前言: 本文主要介绍了EDA原理与应用这门课程的相关实验及代码。使用的软件是Quartus Ⅱ,该实验使用fpga芯片为cycloneⅤ 5CSEMA5F31C6。 (1)了解数字钟的

    2024年02月06日
    浏览(75)
  • 西电微原课设——矩阵式键盘数字密码锁设计

    一、课程设计目的 掌握微机系统总线与各芯片管脚连接方法,提高接口扩展硬件电路连接能力。 初步掌握键盘扫描,密码修改和计时报警程序的编写方法。 掌握通过矩阵式键盘扫描实现密码锁功能的设计思路和实现方法。 二、课程设计内容 根据设定好的密码,采用4x4矩阵

    2024年02月13日
    浏览(52)
  • 微机课设 | 基于STC15单片机的简易数字密码锁设计

    在日常的生活和工作中,住宅与部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。在安全技术防范领域,具有防盗报警功能的

    2024年02月04日
    浏览(38)
  • 51单片机数字电子钟设计(数电课设,含时间显示、校准、整点报时、闹钟功能)

    51单片机数字电子钟设计(数电课设,含时间显示、校准、整点报时、闹钟功能) 首先展示硬件设计部分,此处采用proteus仿真演示。其中液晶屏上面一行显示的是实际时间,下面一行显示的是设定闹钟时间。通过调节单刀双掷开关来改变正在调整的时间是实际时间还是闹钟时

    2024年02月11日
    浏览(40)
  • Ubuntu18.04虚拟机EDA环境,支持模拟集成电路、数字集成电路、数模混合设计全流程,包含工艺库

    搭建了 Ubuntu18.04 虚拟机环境,工具包括但不限于: virtuoso IC618,innovus,genus,spectre,xceliummain,formality,synplify,hspice,icc2,primetime,sentaurus,siliconsmart,spyglass,starrc,design compiler,vcs,verdi,calibre,modelsim,tessent,ADS,GoldenGate 等。具体工具及版本见后文图片。虚拟机工

    2024年04月14日
    浏览(61)
  • 基于物联网的智能家居系统设计(课设)

      科技的快速发展给人们的生活带来了翻天覆地的变化,生活的方方面面涉及到互联网技术,如手机、电脑、可视对讲系统、小区门禁系统等,为人们的生活提供了更多的便利,由此可见,物联网技术在我们的生活中十分普遍,就当前的信息技术以及互联网技术的发展水平

    2024年02月03日
    浏览(68)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包