目录
1,资源下载及quartus II 9.0的下载
2,建立一个测试工程;
3,编写VHDL程序和仿真文件
4,altera usb-blaster驱动识别失败解决
1,资源下载及quartus II 9.0的下载
链接:https://pan.baidu.com/s/1TXNG2aM4-7KR9Fx93zwgfQ
提取码:s83x
提取完成,解压之后文件夹目录如下图:
安装程序在目录:数字系统设计实验\实验\Quartus软件及教程\Quartus安装软件,双击setup.exe,以管理员身份运行;
运行之后按如下的图片进行操作:点击next;
选择I accept the terms of the license agreement;
这里可以随便输入;
选择安装的路径;
选择工程文件夹,可以随便选一个;
选择complete;
点击next;
等待安装完成;
选择是;
选择取消即可;
将目录:数字系统设计实验下的license.DAT文件复制到安装目录:altera1\90下;
运行桌面上的32bit的quartusII,按下图操作;
选择第3个;
点击红色框,选择刚才复制过来的license.DAT文件;
选择tools里面的license setup;
复制NIC的第一个也就是红色框的内容到生成的license.DAT文件中(以记事本方式打开);
将liscense.DAT中的两个红色框中的xxx换成刚才复制的内容后保存;
之后再打开tools里面的liscense setup可以看到已经操作成功;
2,建立一个测试工程;
操作如下的图片所示;
选择file,new project;
点击next;
下图第一栏是工程保存的位置,第二栏是工程名字,第三栏是顶层文件实体的名字(后面的实体必须与该名字一样)这里建立一个名为h_adder的半加器工程文件;
因为对应目录下没有project1这个文件夹所以提示创建一个名为project1的文件夹,选择是;
点击next;
选择对应的芯片,我学校发的是EPF10K20TC144-4,所以我选择它,然后点击next;
点击next,然后完成;
3,编写VHDL程序和仿真文件
选择file,VHDL file;
复制如下代码到文件中;
library ieee;
use ieee.std_logic_1164.all;
entity h_adder is
port(
A : in std_logic;
B : in std_logic;
SO: out std_logic;
CO: out std_logic);
end entity h_adder;
architecture text of h_adder is
begin
SO <= A xor B;
CO <= A and B;
end architecture text;
点击下图红色框的三角形运行,点击保存;
注意红色框保存的文件名需要与前面创建工程文件时第三栏的h_adder一致;
如果编译出现问题,比如将VHDL里面的实体名称改为h_add则会出现下图的顶层文件实体未定义的错误;
解决方法是将Assignments的Device里面的General里的顶层文件实体改成与VHDL文件里面的实体h_add一致,或者将VHDL文件里面的实体h_add改成与顶层文件实体h_adder一致,这两种都可以:
比如用第一种;
创建VHDL文件之后,再创建仿真文件,选择Vector Waveform file;
创建之后,选择该文件,双击红色框的空白处;
点击Node Finder;
按下图的步骤操作,再点击ok;
添加完成后,按下图操作;
效果如下图;
选择process里面的simulator;
选择功能仿真functional;
点击generate functional simulator netlist,然后点击保存;
下滑点击start,完成后点击report;
最后的结果如下图,根据输入,可知测试没有问题;
4,altera usb-blaster驱动识别失败解决
altera usb-blaster的驱动程序在目录:数字系统设计实验\altera-usb-blaster 驱动程序 for win10\Altera-usb-blaster;
部分电脑因为驱动程序的数字签名问题,无法识别altera usb-blaster的驱动,所以需要禁用电脑的数字签名验证,从而成功的运行altera usb-blaster驱动;
具体操作如下的图片所示;
在设置搜索重置此电脑;
选择高级启动,重新启动电脑;
选择疑难解答;
选择高级选项 ;
然后重启;
文章来源:https://www.toymoban.com/news/detail-432579.html
后面会进入输入密匙的界面,可以找电脑客服询问相关操作,得到密匙之后,输入正确密匙后,选择禁用驱动程序强制签名,再重新启动即可;文章来源地址https://www.toymoban.com/news/detail-432579.html
到了这里,关于EDA课设(数字系统设计)--quartusII 9.0安装及altera usb-blaster驱动识别失败解决的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!