南京邮电大学电工电子(数电)实验报告——计数器 & 移位寄存器

这篇具有很好参考价值的文章主要介绍了南京邮电大学电工电子(数电)实验报告——计数器 & 移位寄存器。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一、计数器及应用

(1)实验目的

1、掌握计数器的逻辑功能及应用方法
2、掌握任意进制计数器的设计方法
3、掌握数字电路多个输出波形相位关系的正确测试方法
4、了解非均匀周期信号波形的测试方法

(2)实验原理或设计过程

  • 设计一个分频比N=5的整数分频电路,观察并记录时钟脉冲和输出波形。
    选用cb4cle二进制计数器模块,采用置0法设计,真值表如下。
    南京邮电大学电工电子(数电)实验报告——计数器 & 移位寄存器
    当计数器从0记到4,计数器置零,再反复此过程,因此取Q2=L,D输入端均置零。
  • 设计一个10101序列信号发生器,观察并记录时钟脉冲和输出波形。
    选用cb4cle和八选一数据选择器,采用置0法设计,真值表如下。
    南京邮电大学电工电子(数电)实验报告——计数器 & 移位寄存器
    当计数器从0记到4,计数器置零,再反复此过程,因此取Q2=L,D输入端均置零。当计数器从0记到4,数据选择器输出10101,实现目的。

(3)实验电路图

分频比为5的整数分频电路

南京邮电大学电工电子(数电)实验报告——计数器 & 移位寄存器
南京邮电大学电工电子(数电)实验报告——计数器 & 移位寄存器

输出序列码10101

南京邮电大学电工电子(数电)实验报告——计数器 & 移位寄存器
南京邮电大学电工电子(数电)实验报告——计数器 & 移位寄存器

(4)分频比为5的整数分频电路RTL代码设计(加分项)

  • RTL代码
module counter_5(
	input wire clk,
	input wire rst_n,
	
	output reg counter
);

	reg [2:0]cnt;
	
	always @(posedge clk or negedge rst_n)
	if(!rst_n)
		cnt <= 1'b0;
	else if(cnt == 3'd4)
		cnt <= 1'b0;
	else 
		cnt <= cnt +1'b1;
		
	always @(posedge clk or negedge rst_n)
	if(!rst_n)
		counter <= 1'b0;
	else if(cnt == 3'd3)
		counter <= 1'b1;
	else
		counter <= 1'b0;

endmodule 
  • 仿真测试模块代码
`timescale 1ns/1ns
`define clk_period 20

module counter_5_tb;

	reg clk;
	reg rst_n;
	
	wire counter;

	counter_5 counter_5_inst(
		.clk(clk),
		.rst_n(rst_n),
		
		.counter(counter)
	);

	initial clk = 1'b0;
	always #(`clk_period/2) clk = ~clk;
	
	initial begin
		rst_n = 1'b0;
		#(`clk_period*10);
		rst_n = 1'b1;
		#(`clk_period*500);
		$stop;
	end

endmodule 
  • 仿真波形图
    南京邮电大学电工电子(数电)实验报告——计数器 & 移位寄存器

(5)输出序列码10101RTL代码设计(加分项)

  • RTL代码
module sequence(
	input wire clk,
	input wire rst_n,
	
	output reg seq
);
	reg [2:0]cnt;
	
	always @(posedge clk or negedge rst_n)
	if(!rst_n)
		cnt <= 1'b0;
	else if(cnt == 3'd4)
		cnt <= 1'b0;
	else 
		cnt <= cnt + 1'b1;
		
	always @(*)
		case(cnt)
			3'b000 : seq = 1'b1;
			3'b001 : seq = 1'b0;
			3'b010 : seq = 1'b1;
			3'b011 : seq = 1'b0;
			3'b100 : seq = 1'b1;
		endcase

endmodule 
  • 仿真测试模块代码
`timescale 1ns/1ns
`define clk_period 20

module sequence_tb;

	reg clk;
	reg rst_n;
	
	wire seq;

	sequence sequence_inst(
		.clk(clk),
		.rst_n(rst_n),
		
		.seq(seq)
	);
	
	initial clk = 1'b0;
	always #(`clk_period/2) clk = ~clk;
	
	initial begin
		rst_n = 1'b0;
		#(`clk_period*10);
		rst_n = 1'b1;
		#(`clk_period*100);
		$stop;
	end

endmodule 
  • 仿真波形图
    南京邮电大学电工电子(数电)实验报告——计数器 & 移位寄存器

(6)实验数据分析和实验结果

1、分频比为N=5的整数分频电路波形图。
南京邮电大学电工电子(数电)实验报告——计数器 & 移位寄存器
2、输出序列码10101波形图:
南京邮电大学电工电子(数电)实验报告——计数器 & 移位寄存器

二、移位寄存器及应用

(1)实验目的

1、掌握移位寄存器的逻辑功能
2、掌握移位寄存器的具体应用方法
3、掌握移位寄存型计数器的自启动特性的检测方法
4、掌握不均匀周期信号波形的测试方法

(2)实验原理或设计过程

用移位寄存器附加数据选择器电路设计101001序列信号发生器,要求具有自启动特性,用实验验证。用示波器双踪观察并记录时钟脉冲和输出波形。
设计过程:
南京邮电大学电工电子(数电)实验报告——计数器 & 移位寄存器
选用SR4RLED和M8_1E进行设计

(3)实验电路图

南京邮电大学电工电子(数电)实验报告——计数器 & 移位寄存器
南京邮电大学电工电子(数电)实验报告——计数器 & 移位寄存器

(4)实验数据分析和实验结果

南京邮电大学电工电子(数电)实验报告——计数器 & 移位寄存器
南京邮电大学电工电子(数电)实验报告——计数器 & 移位寄存器
南京邮电大学电工电子(数电)实验报告——计数器 & 移位寄存器文章来源地址https://www.toymoban.com/news/detail-436881.html

到了这里,关于南京邮电大学电工电子(数电)实验报告——计数器 & 移位寄存器的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 南京邮电大学数学实验最新版

    1.1(1) 1.1(2) 1.2 1.3 1.4 1.5 1.6 1.7(1) f.m g.m main 1.7(2) f1.m g1.m main 1.8(1) 1.8(2) 1.9 1.10 1.11 1.12(1) 1.12(2) fun.m Main.m 2.1(1) dd.m main 2.2 2.3 Martin.m 2.4 2.5(1) 2.5(2) 3.1 结果 3.2 结果 3.3(1) 3.3(3) 3.4 4.1 4.3 4.4 4.5(1) 4.5(2) 4.6 4.7 4.8 4.9 实验一 //散点图 //模型建立 //数据预测

    2024年02月11日
    浏览(37)
  • 2023南京邮电大学通达学院《数学实验》MATLAB实验答案

    四月维夏,六月徂暑。 勤将励勉,勿望再晨。 ——赠nmy 南京邮电大学通达学院《数学实验》MATLAB实验答案 答案更新时间:2023.04.28,修改了4.2的存疑部分。已更新完成,如无错误不在更新 为了方便核算,我在代码中单独将 m 定义为自变量运算或者直接以m=117代入,作业中可以

    2023年04月20日
    浏览(103)
  • 南京邮电大学数据库实验一(SQL语言)

    (1) 通过上机实践,熟悉Oracle的SQL * Plus环境及使用方法 (2) 掌握SQL语言,能熟练运用SQL语言进行数据定义和数据操纵 (3) 加深对关系数据模型的数据结构和约束的理解 硬件:微型计算机 软件:Windows 操作系统、ORACLE 10G 实验原理基于第二、三、五章的相关内容。 实验内容如下:

    2024年04月27日
    浏览(36)
  • 南京邮电大学Web技术双语实验一(客户端HTML脚本编写)

    实验目的: (1) 通过上机实践,熟悉 HTML 和 JavaScript 脚本实现技术。 (2) 加深对 Web 编程的认识 实验要求: 1 编写个人主页,要求包含如下信息。 (1) 标题“欢迎访问×××的主页” (2) 个人简介,包含照片。 (3) 个人经历简介,以有序列表形式显示。 (4) 个人最

    2024年02月05日
    浏览(52)
  • 南京邮电大学算法与设计实验四:回溯法(最全最新,与题目要求一致)

    要求用回溯法求解8-皇后问题,使放置在8*8棋盘上的8个皇后彼此不受攻击,即:任何两个皇后都不在同一行、同一列或同一斜线上。请输出8皇后问题的所有可行解。 用回溯法编写一个递归程序解决如下装载问题:有n个集装箱要装上2艘载重分别为c1和c2的轮船,其中集装箱i的

    2024年02月05日
    浏览(37)
  • 南京邮电大学算法与设计实验一:分治策略(最全最新,与题目要求一致)

    实验原理: 1、用分治法实现一组无序序列的两路合并排序和快速排序。要求清楚合并排序及快速排序的基本原理,编程实现分别用这两种方法将输入的一组无序序列排序为有序序列后输出。 2、采用基于“五元中值组取中值分割法”(median-of-median-of-five partitioning)的线性时

    2024年04月17日
    浏览(75)
  • 南京邮电大学算法与设计实验二:贪心算法(最全最新,与题目要求一致)

    三、实验原理及内容 实验原理: 1 、用贪心法实现求两序列的一般背包问题。要求掌握贪心法思想在实际中的应用,分析一般背包的问题特征,选择算法策略并设计具体算法,编程实现贪心选择策略的比较,并输出最优解和最优解值。 2 、用贪心法求解带时限的 ( 单位时间

    2024年02月05日
    浏览(35)
  • 南京邮电大学汇编语言程序设计实验二(用户登录验证程序的设计)

    1.掌握循环程序的编写以及结束循环的方法。 2.掌握DOS、BIOS功能调用的使用方法。 用户登录验证程序的实现 程序执行后,给出提示操作,请用户键入用户名和密码;用户在键入密码时,程序不回显键入字符;只有当用户键入的用户名,密码字符串和程序内定的字符串相同时

    2023年04月18日
    浏览(43)
  • 南京邮电大学汇编语言程序设计实验一(汇编语言语法练习与代码转换)

    排除语法错误:给出的是一个通过比较法完成8位二进制数转换成十进制数送屏幕显示功能的汇编语言源程序,但有很多语法错误。要求实验者按照原样对源程序进行编辑,汇编后,根据TASM给出的信息对源程序进行修改,知道没有语法错误为止。然后进行链接,并执行相应可

    2024年02月08日
    浏览(47)
  • 南京邮电大学数据结构实验一(线性表的基本运算及多项式的算术运算)(代码篇)

    小伙伴们要多多体会,不要全部借鉴哦!

    2024年02月08日
    浏览(42)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包