vivado工程转换到quartus下联合modelsim仿真

这篇具有很好参考价值的文章主要介绍了vivado工程转换到quartus下联合modelsim仿真。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

版本信息:quartus II 13.1  、modelsim DE 10.6c

vivado用习惯了,现在快速换到quartus下仿真测试。

写一个操作文档,以fpga实现pcm编码为例。

目录

一、建立工程

1、准备源码和仿真文件

 2、新建工程

3、加载源文件

4、选择器件

5、仿真器配置

6、工程信息

二、配置工程

7、设置顶层文件

8、配置仿真

9、配置仿真工具路径

三、编译仿真

10、编译

11、仿真

12、正弦波形配置

12.1 Radix配置

12.2 Format配置


一、建立工程

1、准备源码和仿真文件

首先在非中文路径下新建一个目录adpcm_quartus,放进去源码和仿真文件

vivado工程转换到quartus下联合modelsim仿真

 2、新建工程

打开quartus II 13.1,file -> new project wizard,新建一个工程。

vivado工程转换到quartus下联合modelsim仿真

3、加载源文件

vivado工程转换到quartus下联合modelsim仿真

4、选择器件

根据自己的需要随便选,如果不下板子,就点next

vivado工程转换到quartus下联合modelsim仿真

5、仿真器配置

这个仿真器配置只针对该工程,不影响其他工程使用。选择modelsim和verilog hdl。

为啥不使用modelsim-altera呢,因为这样就要按照quartus的格式修改tb文件,比如删除,否则加载不出来波形。
vivado工程转换到quartus下联合modelsim仿真

6、工程信息

点finish

vivado工程转换到quartus下联合modelsim仿真

二、配置工程

7、设置顶层文件

我们导入的源文件里面有一个顶层文件,但是quartus不知道哪个是顶层,手动将其配置为顶层文件。

vivado工程转换到quartus下联合modelsim仿真

如果没配置顶层就会报错,如下图:

vivado工程转换到quartus下联合modelsim仿真

8、配置仿真

8.1 点击进入setting界面下,

vivado工程转换到quartus下联合modelsim仿真

进入以后,我们可以看到仿真工具名字为modelsim,如果不是,改过来。

vivado工程转换到quartus下联合modelsim仿真

点击"Test Benches"按钮,进入仿真文件加载界面。

vivado工程转换到quartus下联合modelsim仿真

点击”new“,加载文件。

vivado工程转换到quartus下联合modelsim仿真

下图紫色框1中”Test bench name“和”Top level module in test bench“命名和tb文件名要一致,这个名字也是tb文件里module的名字,之后依次点击加载tb文件,后面3个界面连点ok。

 vivado工程转换到quartus下联合modelsim仿真

9、配置仿真工具路径

点击tools -> Options -> EDA Tool Options,然后配置Modelsim的启动路径,其他的路径不用管,不影响。

vivado工程转换到quartus下联合modelsim仿真

三、编译仿真

10、编译

下图中2个操作任意一个都可以编译。

vivado工程转换到quartus下联合modelsim仿真

编译好的结果如下:

vivado工程转换到quartus下联合modelsim仿真

11、仿真

方法1:

vivado工程转换到quartus下联合modelsim仿真

 方法2:(推荐!)

vivado工程转换到quartus下联合modelsim仿真

然后弹出modelsim界面,

vivado工程转换到quartus下联合modelsim仿真

 之后弹出空白波形,这时候不要动,等待一会。(注意看左下角会有加载的信息)vivado工程转换到quartus下联合modelsim仿真

加载波形出来之前会有弹窗,点“否”。

vivado工程转换到quartus下联合modelsim仿真

就会加载出来波形,如果波形有红色,说明为读取数据为x态。查看仿真文件中读取路径是否正确。

vivado工程转换到quartus下联合modelsim仿真

vivado工程转换到quartus下联合modelsim仿真

 我们修改路径后,再次测试看波形。

vivado工程转换到quartus下联合modelsim仿真

12、正弦波形配置

12.1 Radix配置

vivado工程转换到quartus下联合modelsim仿真

12.2 Format配置

vivado工程转换到quartus下联合modelsim仿真

vivado工程转换到quartus下联合modelsim仿真


参考文献:

FPGA学习笔记---Modelsim使用技巧总结_modelsim波形高度_嵌入式@hxydj的博客-CSDN博客

Quartus同Modelsim的联合仿真_quartus和modelsim联合仿真_1个程序源的博客-CSDN博客文章来源地址https://www.toymoban.com/news/detail-437089.html

到了这里,关于vivado工程转换到quartus下联合modelsim仿真的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

    Quartus II 13.1软件自10.0版本以后,便不再自带波形仿真工具,需要借助Modelsim进行波形仿真。一般安装Quartus II的时候,会默认安装Modelsim Altera,如果需要用到Modelsim软件的话,需要额外再安装一下。本文将介绍一下Quartus II 13.1软件与Modelsim(需要提前安装好)或Modelsim Altera进行

    2024年02月11日
    浏览(34)
  • VIVADO和MODELSIM联合仿真编译库问题

    初次在VIVADO中使用MODELSIM仿真器,或仿真时由于编译库问题报错,可进行如下步骤,个人经验,源于百度,如有问题,请多多指教。 Compiled Library location处选择编译库存放的位置,可在任意处新建文件夹即可;Simulation executable path选择modelsim安装目录下win64文件夹,点击Compile进

    2024年02月12日
    浏览(42)
  • 如何用modelsim仿真包含quartus IP核的工程

    最近在仿真sdram,遇到了一些问题,现在解决了,写出来分享给大家。 问题: 使用quartus和modelsim联合仿真sdram的时候,tb文件中例化了sdram的top文件和sdram的仿真模型,这样会报错,我就想着直接在modelsim中仿真,但是由于模块中有quartus生成的ip核,只在modelsim中仿真也会报错,

    2024年02月03日
    浏览(34)
  • Vivado联合modelsim仿真卡在executing analysis and compilation step阶段

    vivado使用modelsim仿真老是会有问题,我每次都会单纯在验证到底是哪个工具的问题上花好几天时间,总结下来几个点。 首先,如果一直卡住,那一定是有问题,不用再等了。如果不能仿真,那么从第一步开始检查,也就是是否关联modelsim成功,一定一定要仔细检查,因为默认

    2024年02月13日
    浏览(78)
  • 基于fpga的图像处理之图像灰度化处理(Vivado+Modelsim+Matlab联合仿真验证)

    微信公众号上线,搜索公众号 小灰灰的FPGA ,关注可获取相关源码,定期更新有关FPGA的项目以及开源项目源码,包括但不限于各类检测芯片驱动、低速接口驱动、高速接口驱动、数据信号处理、图像处理以及AXI总线等 源码工程链接 https://download.csdn.net/download/m0_50111463/88529260

    2024年02月10日
    浏览(37)
  • 【MODELSIM】Quartus ii 中使用modelsim仿真

    1.仿真环境设置 Quartus ii 中调用modelsim,首次使用modelsim时设置 在tools–options–General–EDA Tool Option中设置好Modelsim的安装文件的路径 2.设置EDA仿真工具 Assignments - settings - EDA Tool settings Simulation选择modelsim 语言选择VHDL 3.生成顶层模块vhd文件 Bdf文件无法直接调用modelsim,在顶层b

    2024年02月04日
    浏览(32)
  • Quartus调用ModelSim进行仿真的步骤

    1.建立工程,在建立工程的第四步中的Simulation下选择Tool Name为ModelSim-Altera,再在后面的Format(s)中选择Verilog HDL。继续构建完工程; 2.在工程中写好程序,保存并编译,确定没有错误后进行下一步; 3.选择Tools菜单中的Options,在General中选择EDA Tool Options,在右边的列表中有Model

    2024年02月11日
    浏览(31)
  • 【安路FPGA】联合modelsim仿真

    第一在modelsim安装目录下新建一个文件夹Anlogic用来存放TD库文件: 打开modelsim仿真软件,feil→change Directory,选择刚才新建文件目录,在新建一个Library库如图所示: TD库文件al与common是必须要添加的两个库,我的芯片是ph1系列所以添加ph1 在去到这个路径会发现多了三个文件夹,在

    2024年02月08日
    浏览(38)
  • 在quartus ii中创建testbench,并使用Modelsim仿真

    1.创建testbench Processing→Start→Start Test BenchTemPlate Writer 得到如下提示,表示创建成功: 2.打开并编辑测试脚本 用“打开文件夹”打开工程所在的文件夹→simulation→modelsim→“文件类型”按图所示更改→选择.vt格式文件,打开 文件中,注释掉或删掉$display(“Running testbench”); 和

    2024年02月02日
    浏览(27)
  • 脚本化Questasim/Modelsim自动仿真——脱离联合仿真

    目录 引言 脚本仿真步骤分析 完整的脚本代码 保姆级使用教程 扩展 之前对于工程一直采用的是vivado+questasim联合仿真的模式,对于大型工程来说这个是合适的,因为需要调用一些ip库和包括约束之类的,vivado的图形化界面做的很完善,基本是一站式服务了;而对于一个小dem

    2024年02月10日
    浏览(30)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包