FPGA——1位全加器和4位全加器的实现

这篇具有很好参考价值的文章主要介绍了FPGA——1位全加器和4位全加器的实现。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一、认识全加器

1、半加器

半加器是实现两个一位二进制数加法运算的器件。它具有两个输入端(被加数A和加数B)及输出端Y。
FPGA——1位全加器和4位全加器的实现
是数据输入被加数A、加数B,数据输出S和数(半加和)、进位C。
A和B是相加的两个数,S是半加和数,C是进位数。
所谓半加就是不考虑进位的加法,它的真值表如下 (见表):
FPGA——1位全加器和4位全加器的实现
逻辑表达式:
FPGA——1位全加器和4位全加器的实现

2、1位全加器

全加器英语名称为full-adder,是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器。一位全加器可以处理低位进位,并输出本位加法进位。多个一位全加器进行级联可以得到多位全加器。常用二进制四位全加器74LS283。
FPGA——1位全加器和4位全加器的实现
一位全加器的真值表如下图,其中Ai为被加数,Bi为加数,相邻低位来的进位数为Ci-1,输出本位和为Si。向相邻高位进位数为Ci
FPGA——1位全加器和4位全加器的实现

3、4位全加器

四位全加器,是指能实现四位二进制数全加的数字电路模块。
2个四位输入以及1个一位进位,输出位一个四位数字加上1个一位进位。
FPGA——1位全加器和4位全加器的实现

二、使用原理图实现1位加法器

1、原理图实现半加器

创建工程这里就不再赘述了,可以参考这篇博客:
https://blog.csdn.net/chenyu128/article/details/127835128
注意选择对所用的芯片型号:
FPGA——1位全加器和4位全加器的实现
首先选择File->New,进入后选择Block Diagram/Schematic File
FPGA——1位全加器和4位全加器的实现

选择元件:两个输入,两个输出,一个与门,一个异或门
FPGA——1位全加器和4位全加器的实现
保存文件,并编译
通过tool->Netlist Viewers->RTL Viewer,查看电路图
FPGA——1位全加器和4位全加器的实现
仿真波形图
FPGA——1位全加器和4位全加器的实现

2、半加器元件实现全加器

将设计项目设置为可调用的元件
在打开半加器原理图文件half_adder.bdf的情况下,选择菜中File中的Create/Update→CreateSymbolFilesforCurrentFile项,即可将当前文件h_adder.bdf变成一个元件符号存盘,以待在高层次设计中调用
FPGA——1位全加器和4位全加器的实现
首先
选择File->New,进入后选择Block Diagram/Schematic File
FPGA——1位全加器和4位全加器的实现

选择元件:选择之前设置的半加器元件,三个输入,两个输出,再加上个或门
FPGA——1位全加器和4位全加器的实现
最终实现的效果图如下:
FPGA——1位全加器和4位全加器的实现
保存文件,并编译
通过tool->Netlist Viewers->RTL Viewer,查看电路图
FPGA——1位全加器和4位全加器的实现
仿真实现
创建一个向量波形文件,选择菜单项 File→New->VWF
FPGA——1位全加器和4位全加器的实现
添加信号
FPGA——1位全加器和4位全加器的实现
随便设置输入信号的波形,然后点击功能仿真。

功能仿真结果
FPGA——1位全加器和4位全加器的实现

三、使用原理图实现4位加法器

四、Verilog HDL实现1位加法器和四位加法器

1、重新创建个项目文件,创建verilog HDL 文件
FPGA——1位全加器和4位全加器的实现
2、1位加法器代码
FPGA——1位全加器和4位全加器的实现
3、4位加法器代码
FPGA——1位全加器和4位全加器的实现
4、编译文件无错误并保存
FPGA——1位全加器和4位全加器的实现
5、可以查看电路图
一位加法器的电路原理图:
FPGA——1位全加器和4位全加器的实现
4位加法器的电路原理图:
FPGA——1位全加器和4位全加器的实现
6、波形仿真
1位加法器的波形仿真图,高电平代表1,低电平代表0,可以看到,加法器正常工作无错误波形输出。
FPGA——1位全加器和4位全加器的实现
这是四位加法器的仿真波形图,这里使用4位的二进制数字来代表4位的输入信号和输出信号。
FPGA——1位全加器和4位全加器的实现

五、烧录及实验效果

1、
烧录前先要所需的绑定引脚

查询芯片引脚配置图:
FPGA——1位全加器和4位全加器的实现

将前八个拨片作为我们的2个四位信号输入,第9个拨片作为进位输入,拨片向上代表输入1信号,拨片向下代码输入0信号。

FPGA——1位全加器和4位全加器的实现
FPGA——1位全加器和4位全加器的实现
将前四个led作为四位加法器得到的加法sum和,第5个led灯则是进位。

1位加法器的引脚绑定同理如下:FPGA——1位全加器和4位全加器的实现

2、实际效果演示
1位加法器
FPGA——1位全加器和4位全加器的实现
4位加法器
FPGA——1位全加器和4位全加器的实现

六、总结

这次实验复习了之前学习的verilog语言和Quartus软件的使用,构建1位加法器和4位加法器用原理器件和直接用verilog语言,以及仿真看看是否构建成功。

七、参考链接

https://blog.csdn.net/qq_43279579/article/details/115480406文章来源地址https://www.toymoban.com/news/detail-441320.html

到了这里,关于FPGA——1位全加器和4位全加器的实现的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 一位全加器及四位全加器————FPGA

    环境: 1、Quartus18.0 2、vscode 3、基于Intel DE2-115的开发板 全加器简介: 全加器英语名称为full-adder,是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器。一位全加器可以处理低位进位,并输出本位加法进位。多个一位全加器进行级联可以得到多位全加器。

    2024年02月03日
    浏览(36)
  • FPGA:什么是半加器?什么是全加器?多比特数据相加怎么求?如何用面积换速度?

    在FPGA中计算两个数据相加和C语言中的加法不太一样,在FPGA中是二进制相加,要考虑数据的进位、数据时单比特还是多比特,数据若位宽过大引起的时延该怎么解决,本文就对以上问题进行梳理 另外我想挖个新坑,把HDLBits中的内容整理一下,就从加法器进行入手,等写好了

    2024年02月03日
    浏览(22)
  • 【FPGA】Verilog:模块化组合逻辑电路设计 | 半加器 | 全加器 | 串行加法器 | 子模块 | 主模块

    前言: 本章内容主要是演示Vivado下利用Verilog语言进行电路设计、仿真、综合和下载 示例:加法器   ​ 功能特性: 采用 Xilinx Artix-7 XC7A35T芯片  配置方式:USB-JTAG/SPI Flash 高达100MHz 的内部时钟速度  存储器:2Mbit SRAM   N25Q064A SPI Flash(样图旧款为N25Q032A) 通用IO:Switch :

    2024年02月15日
    浏览(33)
  • Quartus实现一位全加器

    真值表 A B C0 S 0 0 0 0 0 1 0 1 1 0 0 1 1 1 1 0 表达式 S=A⊕B C=AB 真值表 ain bin cin cout sum 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 表达式 Sum=Ain⊕Bin⊕Cin Cout=(Ain⊕Bin)⋅Cin+AinBin=(AinBin)∣(BinCin)∣(AinCin) 选择File-New Project Wizard 设置项目路径和项目名 按个人需求选择芯片

    2024年02月08日
    浏览(32)
  • 【基础知识】~ 半加器 & 全加器

    半加器,就是不考虑进位的加法器,只有两个输入和两个输出:输入A和B,输出和数sum和进位cout,半加器真值表如下: 全加器就是在半加器的基础上引入一个进位输入,总共三个输入两个输出。全加器真值表如下: 这里的输出一共有两个,一个sum,一个进位cout。sum的值是

    2024年02月11日
    浏览(28)
  • 加法器、半加器、全加器、超前进位加法器

    简单来讲,半加器不考虑低位进位来的 进位值 ,只有两个输入,两个输出。由一个与门和异或门构成. 真值表: 输入 输出 A B C S 0 0 0 0 0 1 0 1 1 0 0 1 1 1 1 0 半加器不考虑低位向本位的[进位],因此它不属于[时序逻辑电路],有两个输入端和两个输出。 设加数(输入端)为A、B

    2024年02月02日
    浏览(40)
  • 实验四 QUARTUS开发环境实验 设计半加器、全加器和四位全加器 blueee的学习笔记

    一、实验目的 1、通过实验,能熟悉QUARTUS开发环境,能够掌握QUARTUS的原理图输入法设计电路,掌握使用相关仿真工具进行功能和时序仿真的方法; 2、通过实验,加深对全加器电路的理解,并能使用QUARTUS的原理图输入法完成全加器的设计,并能在QUARTUS中完成相关的仿真验证

    2024年02月05日
    浏览(52)
  • CMOS 半加器和全加器&&数字集成电路&& Cadence Virtuoso

    NOR: NAND: 最重要的反相器: NOR: NAND: 最简单的反相器: 好,现在开始设计半加器 我是默认你是懂半加器原理的 这里先放一个模块间连线: 然后shift+f看细节图: 这里一个小技巧: 如果发生导线交叉,可以换个材料,否则就会短路。 直接看Schematic吧 到这里,应该能生成

    2024年02月13日
    浏览(34)
  • 一位全加器的设计与实践

    半加器是能够对两个一位的二进制数进行相加得到半加和以及半加进位的组合电路,其真值表如下 也就是说,这个半加器的输出表达式为S=A⊕B,C=AB,逻辑电路图如下 全加器的真值表如下,其中Ain表示被加数,Bin表示加数,Cin表示低位进位,Cout表示高位进位,Sum表示本位和

    2024年02月08日
    浏览(28)
  • FPGA实现1位全加器和4位全加器

    1、基于Quartus件完成一个1位全加器的设计,分别采用:1)原理图输入 以及 2)Verilog编程 这两种设计方法。 2、在此基础上,用原理图以及Verilog 编程两种方式,完成4位全加器的设计,对比二者生成的 RTL差别;使用modelsim验证逻辑设计的正确性。 num1和num2是加数,cin是低位进

    2024年02月05日
    浏览(29)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包