【MODELSIM】Quartus ii 中使用modelsim仿真

这篇具有很好参考价值的文章主要介绍了【MODELSIM】Quartus ii 中使用modelsim仿真。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

1.仿真环境设置
Quartus ii 中调用modelsim,首次使用modelsim时设置
在tools–>options–>General–>EDA Tool Option中设置好Modelsim的安装文件的路径
【MODELSIM】Quartus ii 中使用modelsim仿真
2.设置EDA仿真工具
Assignments - settings - EDA Tool settings Simulation选择modelsim 语言选择VHDL
【MODELSIM】Quartus ii 中使用modelsim仿真
3.生成顶层模块vhd文件
Bdf文件无法直接调用modelsim,在顶层bdf界面自动生成顶层vhd代码
File-create-creat hdl design file from current file
【MODELSIM】Quartus ii 中使用modelsim仿真
生成的vhd文件添加进工程并设置为顶层文件。删除工程中的bdf文件。(否则存在两个同名模块会出现编译错误)
【MODELSIM】Quartus ii 中使用modelsim仿真
4.生成testbench文件
Processing - start -start test bench template writer

把生成的testbench文件添加到工程里Assignments - settings - simulation
Testbench路径为: 工程目录 - simulation - modelsim - TLMP_PvInverter_C.vht
【MODELSIM】Quartus ii 中使用modelsim仿真
5.编写testbench文件
主要的工作是加入要输入的信号以及输出的时间。

6.开始modelsim仿真
注意:仿真必须在顶层文件界面下进行。文章来源地址https://www.toymoban.com/news/detail-442940.html

到了这里,关于【MODELSIM】Quartus ii 中使用modelsim仿真的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Quartus联合 ModelSim仿真及测试

    插件系列文章目录: (1)modelsim安装使用及Vivado关联 (2)VSCode关联VIVADO编辑Verilog (3)Modelsim观察波形–基础操作述 (4)Quartus联合 ModelSim仿真及测试 Quartus联合 ModelSim仿真及测试 提示:以下是本篇文章正文内容,下面案例可供参考 [Quartus下载链接:https://pan.baidu.com/s/1t24

    2024年02月06日
    浏览(34)
  • Quartus调用ModelSim进行仿真的步骤

    1.建立工程,在建立工程的第四步中的Simulation下选择Tool Name为ModelSim-Altera,再在后面的Format(s)中选择Verilog HDL。继续构建完工程; 2.在工程中写好程序,保存并编译,确定没有错误后进行下一步; 3.选择Tools菜单中的Options,在General中选择EDA Tool Options,在右边的列表中有Model

    2024年02月11日
    浏览(31)
  • Quartus II 13.1与Modelsim SE的安装

    软件资源下载链接:提取码:38ny 注册资源下载链接(用于Quartus II):提取码:zbho 注册资源下载链接(用于Modelsim):提取码:hi5b 在文件 QuartusII_13.1 文件下,解压 QuartusSetup-13.1.0.162.rar ,: 解压后得到: 运行这个可执行程序: 点击next: 之后勾选对应选项并点击next: 之后

    2024年02月06日
    浏览(33)
  • vivado工程转换到quartus下联合modelsim仿真

    版本信息:quartus II 13.1  、modelsim DE 10.6c vivado用习惯了,现在快速换到quartus下仿真测试。 写一个操作文档,以fpga实现pcm编码为例。 目录 一、建立工程 1、准备源码和仿真文件  2、新建工程 3、加载源文件 4、选择器件 5、仿真器配置 6、工程信息 二、配置工程 7、设置顶层文

    2024年02月03日
    浏览(26)
  • 如何用modelsim仿真包含quartus IP核的工程

    最近在仿真sdram,遇到了一些问题,现在解决了,写出来分享给大家。 问题: 使用quartus和modelsim联合仿真sdram的时候,tb文件中例化了sdram的top文件和sdram的仿真模型,这样会报错,我就想着直接在modelsim中仿真,但是由于模块中有quartus生成的ip核,只在modelsim中仿真也会报错,

    2024年02月03日
    浏览(34)
  • 【FPGA】Quartus项目工程创建以及联合Modelsim进行仿真(FPGA项目创建与仿真)

    目录 软件下载 Quartus中设置Modelsim-Altera仿真器 创建新项目 编写项目代码 编写测试模块   这里使用的是Quartus Prime Light 18.1 以及ModelSim Intel FPGA 10.5b,两个软件在同一个地方下载,附网址https://www.intel.com/content/www/us/en/software-kit/665990/intel-quartus-prime-lite-edition-design-software-version-

    2024年03月15日
    浏览(56)
  • FIFO(一) —— Quartus中FIFO IP核的学习与modelsim仿真

    1、 FIFO:(First In First Out),是有先进先出特性的缓存器,常被用于数据的缓存或者高速异步数据的交互。 2、 FIFO与普通存储器的区别在于:它没有外部读写地址线(其数据地址由内部读写指针自动加 1 完成),操作简单但不能指定某一地址。 3、主要包含两种:单时钟FIF

    2024年02月08日
    浏览(36)
  • FPGA必备软件保姆级教程:Quartus II 15.0(Cyclone V器件库)与ModelSim 10.5 的安装教程

    重要提示!此教程只用于学习用途! 目录 安装包提供 Quartus II 15.0(Cyclone V器件库)与ModelSim 10.5 的安装步骤 2023年9月12日补档,Quartus与ModelSim的关联。   教程使用的安装包,均为网络开源资源,侵权立删。百度网盘链接:百度网盘 请输入提取码提取码:hq4n 1.网盘下载这两个

    2024年02月08日
    浏览(32)
  • 基于Quartues ii和Modelsim的FIR滤波器仿真

    本设计需要实现基于FPGA的FIR低通滤波,采样频率5MHz,截止频率100kHz,利用Matlab设计FIR滤波器系数,并生成测试数据保存至txt文件。在Quartues ii中编写FIR滤波器模块,联合Modelsim进行功能仿真,观察滤波效果。 本设计分为两个部分,一个是MATLAB中测试数据的产生和FIR滤波器的设

    2023年04月16日
    浏览(18)
  • Modelsim仿真使用教程

    最近写了个设计《基于VHDL/Verilog的汉明码编译码器设计》 之前用QuartusII+modelsim联合仿真,没有出现任何问题,后面在别的电脑上也安装了两个软件,结果QuartusII中无法正常的启动modelsim软件,没有找到很好的解决办法,干脆直接使用modelsim仿真。 激励文件的作用:仿真无疑就

    2024年02月02日
    浏览(29)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包