STM32CubeMX 读取DS18B20温度传感器数据串口打印显示

这篇具有很好参考价值的文章主要介绍了STM32CubeMX 读取DS18B20温度传感器数据串口打印显示。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

概述

本文要做的所有工作标题基本都包括了,读取温度传感器的温度数值,再通过串口打印到串口助手;
好多博主大神的教程我按步骤做了之后总是出现程序不报错并且检测不到传感器的情况,后来找到原因并且修改后调试正常。

我用的是普中科技的实验板,主控芯片为STM32103C8T6,只要是stm32的板子,在STM32CubeMX的配置上都是大同小异的。

DS18B20介绍

虽然不看这一节依然能顺利的做出实验来,但是还是有必要看看的,知道一下ds18b20.c为什么那么写;
这位博主这部分写的细致一点,做完实验想细究的可以看看:

https://blog.csdn.net/liuyy_2000/article/details/113754150

配置STM32CubeMX生成工程

  1. 打开STM32CubeMX,选择对应MCU:
    STM32CubeMX 读取DS18B20温度传感器数据串口打印显示
    STM32CubeMX 读取DS18B20温度传感器数据串口打印显示

2.配置时钟:
普中的板子上是有晶振的,这里我使用的是外部时钟;
STM32CubeMX 读取DS18B20温度传感器数据串口打印显示

时钟树配置(因为ds18b20要用到us级的延时,所以要使用到定时器,定时器和配置时钟是关联的):

STM32CubeMX 读取DS18B20温度传感器数据串口打印显示

调试方式配置:
STM32CubeMX 读取DS18B20温度传感器数据串口打印显示

配置与ds18b20连接GPIO引脚(这里我用的PB8):
STM32CubeMX 读取DS18B20温度传感器数据串口打印显示

配置串口通信USART1

(普中科技的板子硬件设计上只有这个和外部联机):
STM32CubeMX 读取DS18B20温度传感器数据串口打印显示

定时器配置

STM32CubeMX 读取DS18B20温度传感器数据串口打印显示

生成工程配置:
STM32CubeMX 读取DS18B20温度传感器数据串口打印显示STM32CubeMX 读取DS18B20温度传感器数据串口打印显示

然后点击GENERATE CODE生成代码;

代码编写部分

找到工程打开先编译;

编写us延迟函数

首在tim.h中声明一下delay_us函数

/* USER CODE BEGIN Includes */
void delay_us(uint16_t us);
/* USER CODE END Includes */

然后在tim.c文件里写入代码

/* USER CODE BEGIN 1 */
void delay_us(uint16_t us)
{
	uint16_t differ=0xffff-us-5;   //设定定时器计数器起始值 

	
	HAL_TIM_Base_Start(&htim1);           //启动定时器
	__HAL_TIM_SetCounter(&htim1,differ); 
	while(differ < 0xffff-5)                     //补偿,判断            
	{ 
		differ = __HAL_TIM_GetCounter(&htim1);   //查询计数器的计数值 
	} 
	HAL_TIM_Base_Stop(&htim1);
 
}
/* USER CODE END 1 */

重定向printf函数

先在usart.h中包含输入输出头文件和定义变量;

/* USER CODE BEGIN Includes */
#include <stdio.h>
/* USER CODE END Includes */

然后在usart.c中添加printf重定向函数;

/* USER CODE BEGIN 0 */
int fputc(int ch, FILE *fp)
{
  HAL_UART_Transmit(&huart1, (uint8_t *)&ch, 1, 0xffff);
  return ch;
}
 
int fgetc(FILE *fp)
{
  uint8_t ch = 0;
  HAL_UART_Receive(&huart1, &ch, 1, 0xffff);
  return ch;
}
/* USER CODE END 0 */

ds18b20.c和ds18b20.h文件

ds18b20.h

#ifndef __DS18B20_H
#define __DS18B20_H 

#include "main.h"

#define BITBAND(addr, bitnum) ((addr & 0xF0000000)+0x2000000+((addr &0xFFFFF)<<5)+(bitnum<<2))
#define MEM_ADDR(addr)  *((volatile unsigned long  *)(addr))
#define BIT_ADDR(addr, bitnum)   MEM_ADDR(BITBAND(addr, bitnum))

#define GPIOA_ODR_Addr    (GPIOA_BASE+12)
#define GPIOB_ODR_Addr    (GPIOB_BASE+12)
#define GPIOC_ODR_Addr    (GPIOC_BASE+12)
#define GPIOD_ODR_Addr    (GPIOD_BASE+12)
#define GPIOE_ODR_Addr    (GPIOE_BASE+12)
#define GPIOF_ODR_Addr    (GPIOF_BASE+12)
#define GPIOG_ODR_Addr    (GPIOG_BASE+12)

#define GPIOA_IDR_Addr    (GPIOA_BASE+8)
#define GPIOB_IDR_Addr    (GPIOB_BASE+8)
#define GPIOC_IDR_Addr    (GPIOC_BASE+8)
#define GPIOD_IDR_Addr    (GPIOD_BASE+8)
#define GPIOE_IDR_Addr    (GPIOE_BASE+8)
#define GPIOF_IDR_Addr    (GPIOF_BASE+8)
#define GPIOG_IDR_Addr    (GPIOG_BASE+8)

#define PAout(n)   BIT_ADDR(GPIOA_ODR_Addr,n)
#define PAin(n)    BIT_ADDR(GPIOA_IDR_Addr,n)

#define PBout(n)   BIT_ADDR(GPIOB_ODR_Addr,n)
#define PBin(n)    BIT_ADDR(GPIOB_IDR_Addr,n)

#define PCout(n)   BIT_ADDR(GPIOC_ODR_Addr,n)
#define PCin(n)    BIT_ADDR(GPIOC_IDR_Addr,n)

#define PDout(n)   BIT_ADDR(GPIOD_ODR_Addr,n)
#define PDin(n)    BIT_ADDR(GPIOD_IDR_Addr,n)

#define PEout(n)   BIT_ADDR(GPIOE_ODR_Addr,n)
#define PEin(n)    BIT_ADDR(GPIOE_IDR_Addr,n)

#define PFout(n)   BIT_ADDR(GPIOF_ODR_Addr,n)
#define PFin(n)    BIT_ADDR(GPIOF_IDR_Addr,n)

#define PGout(n)   BIT_ADDR(GPIOG_ODR_Addr,n)
#define PGin(n)    BIT_ADDR(GPIOG_IDR_Addr,n)

//IO操作函数
#define	DS18B20_DQ_OUT PBout(8) //数据端口
#define	DS18B20_DQ_IN  PBin(8)  //数据端口
   	
uint8_t DS18B20_Init(void);			//初始化DS18B20
short DS18B20_Get_Temp(void);		//获取温度
void DS18B20_Start(void);			//开始温度转换
void DS18B20_Write_Byte(uint8_t dat);//写入一个字节
uint8_t DS18B20_Read_Byte(void);	//读出一个字节
uint8_t DS18B20_Read_Bit(void);		//读出一个位
uint8_t DS18B20_Check(void);		//检测是否存在DS18B20
void DS18B20_Rst(void);				//复位DS18B20
#endif

ds18b20.c

#include "ds18b20.h"
#include "tim.h"


//IO方向设置
void DS18B20_IO_IN(void){
	GPIO_InitTypeDef GPIO_InitStructure;
	GPIO_InitStructure.Pin = GPIO_PIN_8;
	GPIO_InitStructure.Mode = GPIO_MODE_INPUT;
	HAL_GPIO_Init(GPIOB,&GPIO_InitStructure);
}

void DS18B20_IO_OUT(void){
	GPIO_InitTypeDef GPIO_InitStructure;
	GPIO_InitStructure.Pin = GPIO_PIN_8;
	GPIO_InitStructure.Mode = GPIO_MODE_OUTPUT_PP;
	GPIO_InitStructure.Speed = GPIO_SPEED_FREQ_HIGH;
	HAL_GPIO_Init(GPIOB,&GPIO_InitStructure);
}


//复位DS18B20
void DS18B20_Rst(void)	   
{                 
	DS18B20_IO_OUT(); //SET PA0 OUTPUT
    DS18B20_DQ_OUT=0; //拉低DQ
    delay_us(750);    //拉低750us
    DS18B20_DQ_OUT=1; //DQ=1 
	delay_us(15);     //15US
}
//等待DS18B20的回应
//返回1:未检测到DS18B20的存在
//返回0:存在
uint8_t DS18B20_Check(void)
{   
	uint8_t retry=0;
	DS18B20_IO_IN();//SET PA0 INPUT	 
    while (DS18B20_DQ_IN&&retry<200)
	{
		retry++;
		delay_us(1);
	};	 
	if(retry>=200)return 1;
	else retry=0;
    while (!DS18B20_DQ_IN&&retry<240)
	{
		retry++;
		delay_us(1);
	};
	if(retry>=240)return 1;	    
	return 0;
}
//从DS18B20读取一个位
//返回值:1/0
uint8_t DS18B20_Read_Bit(void) 			 // read one bit
{
    uint8_t data;
	DS18B20_IO_OUT();//SET PA0 OUTPUT
    DS18B20_DQ_OUT=0; 
	delay_us(2);
    DS18B20_DQ_OUT=1; 
	DS18B20_IO_IN();//SET PA0 INPUT
	delay_us(12);
	if(DS18B20_DQ_IN)data=1;
    else data=0;	 
    delay_us(50);           
    return data;
}
//从DS18B20读取一个字节
//返回值:读到的数据
uint8_t DS18B20_Read_Byte(void) 
{        
    uint8_t i,j,dat;
    dat=0;
	for (i=1;i<=8;i++) 
	{
        j=DS18B20_Read_Bit();
        dat=(j<<7)|(dat>>1);
    }						    
    return dat;
}
//写一个字节到DS18B20
//dat:要写入的字节
void DS18B20_Write_Byte(uint8_t dat)
 {             
    uint8_t j;
    uint8_t testb;
	DS18B20_IO_OUT();
    for (j=1;j<=8;j++) 
	{
        testb=dat&0x01;
        dat=dat>>1;
        if (testb) 
        {
            DS18B20_DQ_OUT=0;
            delay_us(2);                            
            DS18B20_DQ_OUT=1;
            delay_us(60);             
        }
        else 
        {
            DS18B20_DQ_OUT=0; 
            delay_us(60);             
            DS18B20_DQ_OUT=1;
            delay_us(2);                          
        }
    }
}
//开始温度转换
void DS18B20_Start(void)// ds1820 start convert
{   						               
    DS18B20_Rst();	   
	DS18B20_Check();	 
    DS18B20_Write_Byte(0xcc);
    DS18B20_Write_Byte(0x44);
} 
//初始化DS18B20的IO口 DQ 同时检测DS的存在
//返回1:不存在
//返回0:存在    	 
uint8_t DS18B20_Init(void)
{
	DS18B20_Rst();
	return DS18B20_Check();
}  
//从ds18b20得到温度值
//精度:0.1C
//返回值:温度值 (-550~1250) 
short DS18B20_Get_Temp(void)
{
    uint8_t temp;
    uint8_t TL,TH;
	short tem;
    DS18B20_Start ();                   
    DS18B20_Rst();
    DS18B20_Check();	 
    DS18B20_Write_Byte(0xcc);// skip rom
    DS18B20_Write_Byte(0xbe);// convert	    
    TL=DS18B20_Read_Byte(); // LSB   
    TH=DS18B20_Read_Byte(); // MSB  
	    	  
    if(TH>7)
    {
        TH=~TH;
        TL=~TL; 
        temp=0;//温度为负  
    }else temp=1;//温度为正	  	  
    tem=TH; //获得高八位
    tem<<=8;    
    tem+=TL;//获得底八位
    tem=(float)tem*0.625;//转换     
	if(temp)return tem; //返回温度值
	else return -tem;    
} 
 

以上是ds18b20.c和ds18b20.h文件的内容;
保存后要将其添加进工程;

将ds18b20.c和ds18b20.h文件添加工程


首先添加.c文件
STM32CubeMX 读取DS18B20温度传感器数据串口打印显示

STM32CubeMX 读取DS18B20温度传感器数据串口打印显示

然后添加.h文件的路径

STM32CubeMX 读取DS18B20温度传感器数据串口打印显示

功能实现

在main.c里

包含ds18b20.h

/* USER CODE BEGIN Includes */
#include "ds18b20.h"
/* USER CODE END Includes */

添加变量

/* USER CODE BEGIN PV */
short temperature;
/* USER CODE END PV */

判断硬件电路是否含有传感器

/* USER CODE BEGIN 2 */
  while(DS18B20_Init()){
  	printf("DS18B20 checked failed!!!\r\n");
	  HAL_Delay(500);
  }
    printf("DS18B20 checked success!!!\r\n");
  /* USER CODE END 2 */

在主循环里每隔500ms向串口发送一次温度数值

 while (1)
  {
    /* USER CODE END WHILE */

    /* USER CODE BEGIN 3 */
		temperature = DS18B20_Get_Temp();
		if(temperature < 0)
			printf("现在温度是 -%d ℃\r\n",temperature/10);
		else
			printf("现在温度是 %d ℃\r\n",temperature/10);
		HAL_Delay(500);


  }
  /* USER CODE END 3 */

编译并验证

STM32CubeMX 读取DS18B20温度传感器数据串口打印显示文章来源地址https://www.toymoban.com/news/detail-446433.html

到了这里,关于STM32CubeMX 读取DS18B20温度传感器数据串口打印显示的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【正点原子STM32连载】 第四十二章 DS18B20数字温度传感器实验 摘自【正点原子】STM32F103 战舰开发指南V1.2

    1)实验平台:正点原子stm32f103战舰开发板V4 2)平台购买地址:https://detail.tmall.com/item.htm?id=609294757420 3)全套实验源码+手册+视频下载地址: http://www.openedv.com/thread-340252-1-1.html# 本章,我们将介绍STM32F103如何读取外部温度传感器的温度,来得到较为准确的环境温度。我们将学习

    2024年02月04日
    浏览(48)
  • 【mcuclub】温度传感器DS18B20

        VCC:外接供电电源输入端。 DQ: 数字信号输入/输出端。 GND:电源地线 为什么接上拉电阻: 因为DS18B20的数据口是漏极开路,如果不接上拉电阻,则只能输出低电平和高阻态,不能输出高电平,因此需要外接上拉电阻,否则无法输出1。DS18B20的工作电流约为1mA,VCC一般为

    2023年04月22日
    浏览(36)
  • DS18B20温度传感器工作原理

    目录 管脚描述 综述 访问DS18B20的事件序列 通信时序 VDD:电源引脚,当采用寄生电源的时候,VDD必须连接到地 DQ:单总线运用的数据输入/输出,当采用寄生电源供电时,同时向设备提供电源 GND:地 ①DS18B20片内的ROM中都存在独一无二的64位编码,在后期通信时,是用此编码进

    2024年02月09日
    浏览(32)
  • DS18B20温度传感器——测试环境温度及代码

    醒醒!,还在睡呢,开始干代码了! 单片机通过OneWire协议与DS18B20通信,最终测出环境温度 OneWire 总线的硬件接口很简单,只需要把 DS18B20 的数据引脚和单片机的一个 IO 口接上      说明:GND接地,DQ单引线用于数据的输入,VDD接电源正极(注意正负极不能接反) 通过编程,

    2024年02月09日
    浏览(61)
  • 温度传感器DS18B20【单总线时序结构】

    DS18B20是一种常见的 数字温度传感器 ,其控制命令和数据都是以数字信号的方式输入输出,相比较于模拟温度传感器,具有功能强大、硬件简单、易扩展、抗干扰性强等特点 测温范围:-55°C 到 +125°C 通信接口: 1-Wire(单总线) 其它特征:可形成 总线结构 、内置温度报警功

    2024年02月04日
    浏览(42)
  • 51单片机温度传感器DS18B20

    实现功能 插上DS18B20温度传感器,数码管显示检测的温度值 单片机型号:STC89C52 DS18B20介绍 1、DS18B20简介 DS18B20 是由 DALLAS 半导体公司推出的一种的“一线总线(单总线)”接口的温度传感器。与传统的热敏电阻等测温元件相比,它是一种新型的体积小、 适用电压宽、与微处理

    2024年02月01日
    浏览(39)
  • DS18B20温度传感器原理及使用教程

           DS18B20数字温度传感器提供9-Bit到12-Bit的摄氏温度测量精度和一个用户可编程的非易失性且具有过温和低温触发报警的报警功能。DS18B20采用的1-Wire通信即仅采用一个数据线(以及地)与微控制器进行通信。该传感器的温度检测范围为-55℃至+125℃,并且在温度范围超过

    2024年02月11日
    浏览(30)
  • 【单片机】17-温度传感器DS18B20

    (1)测温度的方式:物理(汞柱,气压),电子(金属电性能随温度变化) (2)早期:热敏电阻(模拟接口---》 A/D转换 ) (3)现代:专用sensor(数字接口,如I2C,DS18B20单总线接口等) DS18B20 可编程分辨率单总线 温度传感器 (1)内置集成ADC,外部数字接口 (2) 单总线

    2024年02月04日
    浏览(42)
  • FPGA—DS18B20数字温度传感器(附代码)

    目录 1.理论学习 DS18B20概述 DS18B20特性 DS18B20内部结构 高速缓存器:  64位光刻ROM: 1-Wire 总线协议: 温度测量原理 DS18B20操作步骤 1-Wire总线时序控制 2.实操 2.1 整体说明 2.2 DS18B20控制模块 2.2.1 模块框图和流程图 2.2.2 状态图 2.2.3 波形图         2.2.4 RTL代码 2.3 顶层模块 2.3.1

    2024年02月04日
    浏览(36)
  • 基于FPGA的ds18b20温度传感器使用

    ds18b20是常用的数字温度传感器,具有体积小,硬件开销低,抗干扰能力强,精度高的特点。 1.特点 ds18b20单线数字温度传感器具有独特的优点: ( 1 )采用单总线的接口方式,与微处理器连接时仅需要一条线即可实现微处理器与DS18B20的双向通讯。单总线具有经济性好,抗干

    2023年04月08日
    浏览(36)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包