Xilinx FPGA PCIE接口调试

这篇具有很好参考价值的文章主要介绍了Xilinx FPGA PCIE接口调试。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

        关于在linxu环境下Xilinx FPGA PCIE的接口调试从中遇到了几个问题,第一个就是时钟接口的选择,还有一个就是上位机如何识别XDMA;

        操作系统环境:Linux

        板卡:两款开发板VC707、KCU105

        上位机环境准备:从Xilinx官网下载linux环境的XDMA驱动,并编译安装好;

一、PCIE时钟接口

       1. 从VC707的pcie例程中可以看到,开发板自带的金手指的时钟通过

       在约束文件中set_property LOC IBUFDS_GTE2_X1Y5 [get_cells refclk_ibuf_0]规定好了通道,在TOP文件中用

 IBUFDS_GTE2       refclk_ibuf_0         (.O(sys_clk_0), .ODIV2(), .I(sys_clk_p_0), .CEB(1'b0), .IB(sys_clk_n_0));

        源语一次即可,这里的X1Y5是固定到了AB7、AB8管脚,如下图所示,AB7、AB8下方就是refclk,用鼠标放置在该buf上,就会显示其编号为X1Y5;

Xilinx FPGA PCIE接口调试

         2.现在VC707的FMC接口引出PCIE管脚,对应的时钟约束管脚找了官方的各种手册都没有找到,后来在open systhesized design的版图中,能找到管脚对应的refclk_ibuf的编号;当管脚选择为E9,E10时,对应的refclk为X1Y10;

Xilinx FPGA PCIE接口调试

  3.KCU105的时钟管脚与VC707的约束办法以及源语方式又不一样,在约束文件中可以用

     set_property LOC H6 [get_ports sys_clk_p]

     create_clock -name sys_clk -period 10 [get_ports sys_clk_p]

     在top文件中,用下述源语描述即可;

     IBUFDS_GTE3 # (.REFCLK_HROW_CK_SEL(2'b00)) refclk_ibuf (.O(sys_clk_gt), .ODIV2( sys_clk ), .I(sys_clk_p), .CEB(1'b0), .IB(sys_clk_n));

       若是要换成FMC的pcie管脚,在约束文件中将对应的时钟管脚更换即可;

二、如何识别XDMA

        除了要使用XDMA这个IP,上位机要识别XDMA,还需要reg_map;

 文章来源地址https://www.toymoban.com/news/detail-446993.html

 

 

 

到了这里,关于Xilinx FPGA PCIE接口调试的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 《FPGA调试记录》Xilinx 7series FPGA 万兆网UDP

    第一次在Xilinx 7series FPGA上实现万兆网UDP设计。具体的实现思路参考米联客的实现方案,但是由于米联客的udp协议栈只提供了网表文件,所以对于他们的内容没有深究,只是用来作为前期链路通断的验证方案。 建议初次上板实现时最好先想办法验证一下硬件,防止硬件有问题

    2024年02月03日
    浏览(25)
  • FPGA PCIE接口的Linux DMA Engine驱动

    英创嵌入式主板,如ESM7000系列、ESM8000系列等,均可配置标准的PCIE×1高速接口。连接NVMe模块作高速大容量数据存储、连接多通道高速网络接口模块都是PCIE接口的典型应用。此外,对于工控领域中的高速数据采集,还可采用FPGA的PCIE IP核实现PCIE EP端点,与英创嵌入式主板构成

    2024年02月15日
    浏览(25)
  • 关于Xilinx、复旦微 7系列 FPGA “Init_B”引脚的深入探讨

    关注+ 星标公众 号 ,及时获取更多技术分享~ ​​​​​​​  作者 | 冰茶奥利奥 微信公众号 | 嵌入式电子创客街 这两年项目上提国产化的越来越非常严格,尤其是今年,军工项目更加特殊,总体单位直接提百分百纯国产化。这百分百国产化器件中,有外资控股的企业不

    2024年02月06日
    浏览(41)
  • Xilinx FPGA开发环境vivado使用流程

    第一步:点击Add Sources按钮 第二步:选择add or create design sources按钮,即添加设计文件 第三步:选择create file 文件新建完成后: 此时可以定义I/O端口,我们选择自己在程序中编写。 第四步:在编辑器中编写verilog程序 XDC文件里主要是完成管脚的约束,时钟的约束,以及组的约

    2024年02月03日
    浏览(49)
  • Xilinx FPGA SPIx4 配置速度50M约束语句(Vivado开发环境)

    qspi_50m.xdc文件: 等同于图形化配置方式:

    2024年02月22日
    浏览(43)
  • Xilinx PCIe XDMA IP中断

    IRQ Module Legacy Interrupts (1)usr_irq_req拉高导致中断发送到PCIe Host,可以同时拉高多个bit; (2)等到ack后,相应的usr_irq_req才可以拉低; 第一个 ack 表示 INT 消息已经被发送到了 PCIe block ; (3) 经过 (2) 后 ,FPGA XDMA 内的 interrupt pending register 将会拉高 , 等待 ISR 的查和处理

    2024年01月16日
    浏览(26)
  • Xilinx FPGA未使用管脚上下拉状态配置(ISE和Vivado环境)

    ISE开发环境 ISE开发环境,可在如下Bit流文件生成选项中配置。 右键点击 Generate Programming File ,选择 Process Properties , 在弹出的窗口选择 Configuration Options-Unused Pin ,选择 Pull Down、Pull Up或者Float 。 可以看到,除了未使用管脚,一些系统管脚,比如JTAG,Program、Done管脚等等都可

    2024年02月06日
    浏览(38)
  • 关于xilinx fpga flash烧录失败报错cannot set write enable bit or block(s) protected

    最近买了块新板子,固化程序一次就烧录不了,报错ERROR: [Labtools 27-3347] Flash Programming Unsuccessful: cannot set write enable bit or block(s) protected 记录一下如何解决的吧。 字面意思,flash写保护了。用的开发板上的flash是MX25L25645G,先看手册status register。 如图保护是bit5到bit0。 读一下该

    2024年02月08日
    浏览(41)
  • PCIe基础知识及Xilinx相关IP核介绍

    补发以下以前学习PCIe总结的知识。 PCIe学习笔记系列: PCIe基础知识及Xilinx相关IP核介绍 概念了解:简单学习PCIe的数据链路与拓扑结构,另外看看有什么相关的IP核。 【PG054】7 Series Integrated Block for PCI Express IP核的学习 基础学习:关于Pcie IP核的数据手册,学习PCIe相关的IP核的

    2024年02月02日
    浏览(35)
  • FPGA与DSP之间的EMIF接口调试

    外部设备连接接口包括外部存储器连接接口(EMIF)、主机接口(HPI)等。外部存储器接口主要用来同并行存储器连接,这些存储器包括SDRAM、SBSRAM、Flash、SRAM存储器等,外部存储器接口还可以同外部并行设备进行连接,这些设备包括并行A/D、D/A转换器、具有异步并行接口的专

    2024年02月16日
    浏览(29)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包