Vivado综合属性系列之四 ROM_STYLE

这篇具有很好参考价值的文章主要介绍了Vivado综合属性系列之四 ROM_STYLE。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

目录

一、前言

二、ROM_STYLE


一、前言

        ROM英文全称为Read Only Memory,只读存储器,里面主要存放固定的数据。

二、ROM_STYLE

        ROM的使用方式与RAM类似,格式样例为:(* rom_style="{distributed | block}" *),但可设置的值只有distributed和block,同时也支持在约束文件XDC使用set_property设置。

2.1 工程代码

module ROM_STYLE(dout,clk,address,ce);

input clk;

input [2:0] address;

input ce;

output [7:0] dout;

reg [7:0] dout;

(* rom_style="block" *) reg [7:0] rom_name[7:0];

initial begin

        rom_name[0]<=4'd0;

        rom_name[1]<=4'd1;

        rom_name[2]<=4'd2;

        rom_name[3]<=4'd3;

        rom_name[4]<=4'd4;

        rom_name[5]<=4'd5;

        rom_name[6]<=4'd6;

        rom_name[7]<=4'd7;  

end

always @(posedge clk)

if(!ce) 

dout<=8'bz;

else

    dout<=rom_name[address];

endmodule

    ​属性ROM_STYLE设置为block,结果如图,实现ROM使用的是BRAM

Vivado综合属性系列之四 ROM_STYLE

     ​属性ROM_STYLE设置为distributed,此时实现是通过LUT和寄存器FDRE组合实现

Vivado综合属性系列之四 ROM_STYLE文章来源地址https://www.toymoban.com/news/detail-447607.html

到了这里,关于Vivado综合属性系列之四 ROM_STYLE的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 安卓玩机搞机技巧综合资源-----修改rom 制作rom 解包rom的一些问题解析【二十一】

    接上篇 安卓玩机搞机技巧综合资源------如何提取手机分区 小米机型代码分享等等 【一】 安卓玩机搞机技巧综合资源------开机英文提示解决dm-verity corruption your device is corrupt. 设备内部报错 AB分区等等【二】 安卓玩机搞机技巧综合资源------EROFS分区格式 小米红米机型分区类型

    2023年04月26日
    浏览(32)
  • 安卓玩机搞机----移植第三方rom修复 第三方GSI系统修复bug综合解析【一】

    很多朋友热衷与刷写第三方非当前机型官方系统的rom。和刷写第三方gsi等等。例如 米系列机型刷写Flyme 一加机型刷写miui oppo刷写gsi等等。 很多友友也会尝试自己移植第三方rom。但此类操作最大的问题在于修复可以开机后的bug,今天的教程综合说明下这类修复思路。资源步骤

    2024年02月07日
    浏览(68)
  • VIVADO 综合优化掉模块或者综合太长的原因

    1.首先,不要怀疑综合工具,大概率自己写的代码有问题。 2.直接进入正题,在综合后的原理图中模块被综合优化掉了,附图如下: 可以看到,图中的输入信号没有接到模块内部。  3.解决方法 (1)从例化入手,看例化信号有没有定义正确,例化端口正确不。 (2)从代码入

    2024年02月11日
    浏览(57)
  • vivado安装、仿真、综合与部署

    本文介绍基于windows系统的vivado安装流程,以及基本使用方法。 打开Xilinx vivado的官方网站,点击进入网站底部的“ 下载与许可 ”选项。 在“ Version ”下可以选择需要下载的版本,更早的版本可以在“ Vivado 存档 ”选项下找到。 选择需要下载的版本,并且选择windows环境下v

    2024年02月06日
    浏览(45)
  • FPGA设计入门:Vivado综合简介

    FPGA设计入门:Vivado综合简介 FPGA是一种基于可编程逻辑器件的数字电路设计技术,可以通过编程实现各种电路功能。Vivado是Xilinx公司推出的一款开发工具,可以用来对FPGA进行综合、布局、布线、生成比特流等操作。本篇文章将从Vivado综合的基本流程入手,详细介绍如何使用

    2024年02月09日
    浏览(33)
  • 手记系列之四 ----- 关于使用MySql的经验

    本篇文章主要介绍的关于本人在使用MySql记录笔记的一些使用方法和经验,温馨提示,本文有点长,约1.5w字,几十张图片,建议收藏查看。 下载地址:https://dev.mysql.com/downloads/ 在安装MySql之前,查看是否以及安装过MySql,如果已经安装,但是不符合要求的话就卸载。 如果是wi

    2024年02月01日
    浏览(32)
  • Vivado综合报错及解决方法

    在使用Vivado进行程序综合过程中,全编译报错,如下图: [Chipscope 16-302]Could not generate core for dbg_hub.Aborting IP Generate operaion.The current Vivado temporary directory path. ............ 报错原因: 工程文件夹名称太长,缩短工程文件夹名称如下图。   解决方法: 缩短工程文件夹名称,重新编

    2024年02月12日
    浏览(37)
  • Python 的Tkinter包系列之四:对话框

    对话框是计算机用户和计算机程序之间进行交互的重要手段。对话框是大多数现代GUI应用程序不可或缺的一部分。 Tkinter提供了这些对话框子模块: 消息框messagebox,由tkinter.messagebox模块提供 tkinter.messagebox --- Tkinter 消息提示 — Python 3.10.8 文档 文件选择对话框filedialog,由tki

    2024年02月04日
    浏览(34)
  • 大数据能力提升项目|学生成果展系列之四

    导读 为了发挥清华大学多学科优势,搭建跨学科交叉融合平台,创新跨学科交叉培养模式,培养具有大数据思维和应用创新的“π”型人才,由清华大学研究生院、清华大学大数据研究中心及相关院系共同设计组织的“清华大学大数据能力提升项目”开始实施并深受校内师生

    2023年04月15日
    浏览(27)
  • Vivado综合生成BRAM及与LUTRAM的区别

    使用vivado中的BRAM可以通过例化 Block Memory Generator 这一ip并进行相关的设定。 另外可以通过直接编写符合BRAM规范的代码,借助综合工具直接将其综合为BRAM。这时需要通过 (*ram_style=\\\"block\\\"*) 对 array 进行修饰。 下面给出一种看似可以综合为BRAM的示例。 上述代码虽然用 (*ram_style

    2024年02月15日
    浏览(32)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包