VSCODE联合ModelSim语法检错

这篇具有很好参考价值的文章主要介绍了VSCODE联合ModelSim语法检错。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

Vscode联合ModelSim检错

一、Vscode配置

首先在 vs code 中安装支持 Verilog 的插件:
在 vs code 的 Extension 中搜索 Verilog,安装如下图所示的插件;VSCODE联合ModelSim语法检错

二、ModelSim语法检查器检查

Modelsim的安装破解本文不再赘述,可选的Modelsim有与Quartus II集成的ModelsimAltera 和单独的 Modelsim,安装 Quartus II 可以进行简单的仿真和 FPGA 的开发,集成一些FPGA 的 IP 等,根据自己的需求进行安装,任意一个软件都可以;

1.Modelsim-Altera 配置

将 modelsim-Altera 安装目录下的 win32aloem 文件夹加入系统变量,因为要使用其中的 vlog.exe 的语法检查功能;

2.Modelsim 配置

将 modelsim 安装目录下的win64 文件夹加入系统变量,因为要使用其中的 vlog.exe 的语法检查功能; VSCODE联合ModelSim语法检错

三、开始配置

在完成以上之一的配置之后启动 modelsim-Altera 或者 modelsim 软件,因为都是一样的,所以下统称为 modelsim,启动 modelsim 后创建任意一个新的项目(项目路径不可包含中文与非法字符),如下图:

VSCODE联合ModelSim语法检错

VSCODE联合ModelSim语法检错

创建新项目后会在工程路经下下创建一个名为test 的文件夹,里面的work文件夹下面会有一个_info 文件(这个存放info的文件是不能删除的),将该目录复制到 vs code 设置中的 Verilog > Linting > Modelsim: Work 的设置之中,并将 Linter 的设置改为 modelsim,如下图:

VSCODE联合ModelSim语法检错

VSCODE联合ModelSim语法检错

VSCODE联合ModelSim语法检错

以上就完成了 modelsim 的语法检查和 vs code 的整合,我们接下将 vs code 设置成自动保存或者 Ctrl + S 可以实时地观察到文件的语法错误(但不会显示错误具体是什么)。
VSCODE联合ModelSim语法检错

这里报错的原因是缺少一个逗号,出现了语法错误,会在附近的代码出现波浪线报错。(如遇设置好了但不能进行纠错,请关闭vscode重新打开一下)

VSCODE联合ModelSim语法检错

语法正确是不会出现波浪线报错的。

VSCODE联合ModelSim语法检错文章来源地址https://www.toymoban.com/news/detail-449144.html

到了这里,关于VSCODE联合ModelSim语法检错的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • vue3模板-vscode设置(语法糖)

    选择菜单里的 文件 首选项 用户代码片段 vscode模板 结果 useCurrentInstance.ts

    2024年02月07日
    浏览(26)
  • 在VSCode中使用LaTex,语法检测插件grammarly

    整个文章分为以下几个内容,打 * 的是必须要安装的 latex的下载安装可参考:LaTex(2021)安装教程 VSCode下载:VSCode官网 VSCode的安装非常简单,几乎不需要什么操作 先把中文包下载下来,重启生效 下载 LaTex Workshop 下载完之后,在界面下按下 Ctrl+Shift+P,然后键入“setjson”,点

    2024年02月14日
    浏览(25)
  • 【安路FPGA】联合modelsim仿真

    第一在modelsim安装目录下新建一个文件夹Anlogic用来存放TD库文件: 打开modelsim仿真软件,feil→change Directory,选择刚才新建文件目录,在新建一个Library库如图所示: TD库文件al与common是必须要添加的两个库,我的芯片是ph1系列所以添加ph1 在去到这个路径会发现多了三个文件夹,在

    2024年02月08日
    浏览(29)
  • Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

    Quartus II 13.1软件自10.0版本以后,便不再自带波形仿真工具,需要借助Modelsim进行波形仿真。一般安装Quartus II的时候,会默认安装Modelsim Altera,如果需要用到Modelsim软件的话,需要额外再安装一下。本文将介绍一下Quartus II 13.1软件与Modelsim(需要提前安装好)或Modelsim Altera进行

    2024年02月11日
    浏览(26)
  • Quartus联合 ModelSim仿真及测试

    插件系列文章目录: (1)modelsim安装使用及Vivado关联 (2)VSCode关联VIVADO编辑Verilog (3)Modelsim观察波形–基础操作述 (4)Quartus联合 ModelSim仿真及测试 Quartus联合 ModelSim仿真及测试 提示:以下是本篇文章正文内容,下面案例可供参考 [Quartus下载链接:https://pan.baidu.com/s/1t24

    2024年02月06日
    浏览(26)
  • VScode中使用python突然没有代码提示和语法检查,程序运行正常

    在使用vscode编写python程序时,突然没有代码提示,大概率是由于Pylance扩展模块引起的,可以先尝试重启它,不行的话,在安装其他版本,如下: 先禁用,再打开,然后重启vscode,一般就可以解决,不行的话,再切换其他版本。

    2024年02月03日
    浏览(32)
  • FPGA开发 -- Vivado使用VSCode编译带图文(安装 语法校验 自动缩进 )

    目录 一 前言 Vivado 版本 Vivado 2018.03 芯片 ZYNQ-XC7Z010 VSCode 安装最新版本就行 二 Vivado 设置编译方式 Tools  Text Editor 设置 VSCode 地址 ​编辑三 VSCode 插件安装 1. Verilog HDL/SystemVerilog  打开vscode,打开拓展界面  环境变量设置 2. SystemVerilog ​编辑 设置为默认缩进软件(如图所示)

    2024年04月10日
    浏览(22)
  • Quartus使用步骤及联合Modelsim仿真教程

    #使用记录# 对于Quartus的安装步骤这里不再进行讲解,modelsim的安装步骤后续文章会进行讲解,这里也就不过多说明。言归正传,现在来开始我们的使用教程: 1、首先点击打开Quartus软件,博主这里使用的是22版本的,其他版本的步骤也基本一样。 2、我们可以看到如下界面,点

    2024年02月05日
    浏览(26)
  • QUARTUS联合modelsim仿真(quartus13.0)

    设置仿真软件(Modelsim/ Modelsim-Altera)路径 (1)点击tools -Options (2)选择EDA Tool Options PS:看自己情况设置,使用独立Modelsim仿真时设置ModelSim处的值为ModelSim安装路径下的win64(或者32)路径,使用独立Modelsim-Altera仿真时,设置Modelsim-Altera处的值为Modelsim-Altera路径(一般在quart

    2024年02月09日
    浏览(17)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包