基于verilog的除法器的实现

这篇具有很好参考价值的文章主要介绍了基于verilog的除法器的实现。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

本文应该是目前全网最通俗易懂,而且比较全面的用verilog实现除法器的文章。首先说明一下本文的探讨的重点。我们首先从整数的除法开始讲起,然后慢慢延伸到小数的除法,和负数的除法。

对于一个除法器来说,他的实现框架应该是下面这个图:

基于verilog的除法器的实现

在这个框架图中,A是被除数,B是除数,ready是说明此时的被除数和除数是有效的。而shang和yushu就是字面意思,代表的是除法的结果。而valid指的是此时的除法的结果是有效的。

那么设计完框架图之后 ,现在来设计一下时序图,一个理想的除法器的时序图应该如下所示:

基于verilog的除法器的实现

从上图可以看出,在第一个时钟周期的时候输入divisor(除数)和dividend(被除数)之后,此时在下一个时钟周期,intergral(商)和remaider(余数)就会马上出现结果,这当然就是我们想要的时序。那么有没有可能实现这样完美的时序呢?有同学可能会说,这还不简单,直接用“/”运算不就可以了吗?但其实不太行,因为计算机可以很方便的做加减乘,就是不方便做除法,哪怕实现了除法,他的逻辑电路也是很复杂。对于为什么计算机做除法很复杂,可以参考下面这篇文章。

https://www.cnblogs.com/BinB-W/p/5706725.html

那么还是回到刚刚这个问题,如何实现上图中这个完美的除法器时序呢?vivado其实自带了一个divider generator的ip核,他就是能实现这个完美的时序,上图中我就是调用了divider generator,从而实现了这个时序图。在divider generator中,一共有三个模式,分别是Radix2,High Radix,Lutmult。对于这三个模式,在手册中可以查阅到他们的不同点在于延时和所用资源的不同。其中Radix2和Lutmult可以实现一个时钟周期后,结果就马上出现的时序,所以我们重点应该是放在这两个模式怎么用verilog进行实现。

通过查阅手册和查阅源代码,我们发现这两种模式的实现用到了Xilinx的原语,他的实现方式是偏硬件的,而不是通过纯verilog代码实现的。所以我们无法通过复现divider generator这个ip核,来实现完美的时序。

那么现在问题走到这里,接下来该怎么走呢?我们从手册中找到了答案,手册中有这样一句话:

基于verilog的除法器的实现

意思是说,在除法器这个ip核中,用到了基2非恢复余数法。那么现在重点应该是放在如何用verilog实现非恢复余数法或者是恢复余数法。

对于恢复余数法,网上有很多文章,实事求是地说,基本上看不明白。我第一次看的时候,也是看的云里雾里的。后来我看了哈工大老师计算机组成原理(B站上有),并且自己手写了一遍除法,才算真的搞懂是什么意思。对于这个方法不理解的同学我建议你先看一下哈工大的这一节课:

基于verilog的除法器的实现

我下面直接总结一下恢复余数法。对于恢复余数法,他的本质是描述了手写二进制除法的过程,在进行两个二进制的除法的时候,我们所作的唯一事情就是,给商上0或者上1。那么给商上完1之后,我们需要做什么呢?给商上完0之后,又需要做什么呢?其实只需要做下面两件事情,如下:

基于verilog的除法器的实现

从上面的总结可以看出,恢复余数法的本质就是给三个变量赋值,分别是商、余数、除数。其中商的取值取决于余数和除数的大小比较;余数的取值取决于余数和除数的大小比较;除数则是一直右移即可。

有了上面的认识之后,我们可以提取出如下的关键verilog代码:

基于verilog的除法器的实现

那么描述完恢复余数法的关键步骤之后,有些同学可能还会疑惑一件事情。在网上的代码中我们常常看到被除数需要左边接上很多0,除数需要右边接上很多0,这是为什么呢?这个问题需要各位同学自己手写一下除法的过程,你才能真正明白。我的建议是你用1100_1100除以11_1001试一下。

那么现在来看看我们的时序图是什么样的:

基于verilog的除法器的实现

从图中可以看出我们实现一次除法运算所需要的时钟周期是19个,和完美的时序相差还是比较远,但是没办法,计算机的结构限制了我们只能这样做。如果有同学实在是觉得这样做除法花费的时间太长,我的建议是可以去研究一下SRT算法、牛顿法和 GoldSchmidt 法,这里不再赘述。

讲完整数的除法之后现在来讲讲小数和负数的除法运算。对于小数来说,他其实可以通过乘以一个10,再除以一个10,从而化为了整数的运算。对于负数的除法来说,他需要多考虑一个负数的补码,这里我直接将源代码放在下面,各位同学搞懂了整数的运算,对于小数和负数那不会很难。

最后讲讲恢复余数法和非恢复余数法的区别,这两个的区别我建议是看看哈工大老师的下面这两节课

基于verilog的除法器的实现

我总结的这两个方法的区别如下:

基于verilog的除法器的实现

最后放上源代码,文章写到后面确实有点赶,因为等下要赶飞机了,有不懂的地方发评论吧。文章来源地址https://www.toymoban.com/news/detail-450894.html

module division(
    input   wire                 sys_clk,
    input   wire                 rst_n  ,
    input   wire signed  [16:0]              A,
    input   wire signed  [16:0]              B,
    input   wire                   ready,
    
    output  reg  signed  [16:0]          shang,
    output  reg  signed  [16:0]          yushu,
    output  reg                    valid
    
    
    );

reg work_flag;
reg [15:0] yushu_qian;
reg [31:0] chushu;
reg [4:0] cnt;
reg [15:0]  shang_qian;

always@(posedge sys_clk,negedge rst_n)
    if(!rst_n)
        work_flag <= 1'd0;
    else    if(cnt == 'd16)
        work_flag <= 1'd0;
    else    if(ready == 1'd1)
        work_flag <= 1'd1;

always@(posedge sys_clk,negedge rst_n)
    if(!rst_n)
        yushu_qian <= 16'd0;
    else    if(work_flag == 1'd0)
        yushu_qian <= (A[16] == 1'd1)?~A[15:0]+1'd1:A[15:0];
    else    if(work_flag == 1'd1)
        begin
            if(yushu_qian >= chushu)
                yushu_qian <= yushu_qian - chushu;
            else    
                yushu_qian <= yushu_qian;
        end        
        

always@(posedge sys_clk,negedge rst_n)
    if(!rst_n)
        chushu <= 32'd0;
    else    if(work_flag == 1'd0)
        chushu <= {(B[16] == 1'd1)?~B[15:0]+1'd1:B[15:0],16'd0};
    else    if(work_flag == 1'd1)
        chushu <= chushu>>1;

always@(posedge sys_clk,negedge rst_n)
    if(!rst_n)
        cnt <= 'd0;
    else    if(work_flag == 1'd0)
        cnt <= 'd0;
    else
        cnt <= cnt + 'd1;

always@(posedge sys_clk,negedge rst_n)
    if(!rst_n)
        shang_qian <= 16'd0;
    else    if(work_flag == 1'd0)
        shang_qian <= 16'd0;
    else    if(work_flag == 1'd1)
        begin
            if(yushu_qian >= chushu)
                shang_qian[16-cnt] <= 1'd1;
            else    
                shang_qian[16-cnt] <= 1'd0;
        end        
        
always@(posedge sys_clk,negedge rst_n)
    if(!rst_n)
        shang <= 17'd0;
    else    if(cnt == 'd17)
        shang = (A[16]^B[16] == 1'd1)?{1'd1,~shang_qian+1'd1}:shang_qian;     

always@(posedge sys_clk,negedge rst_n)
    if(!rst_n)
        yushu <= 17'd0;
    else    if(cnt == 'd17)
        yushu <= {A[16] == 1'd1}? {1'd1,~yushu_qian[15:0]+1'd1} :{1'd0,yushu_qian[15:0]};    

always@(posedge sys_clk,negedge rst_n)
    if(!rst_n)
        valid <= 'd0;
    else    if(cnt == 'd17)
        valid <= 'd1;
    else
        valid <= 'd0;
        
endmodule 
`timescale 1ns/1ns

module tb_2();

reg signed  [16:0]          A;
reg signed  [16:0]           B;
reg sys_clk;
reg rst_n;
reg ready;


division division_inst(
    .sys_clk            (sys_clk),
    .rst_n              (rst_n),
    .A                  (A     ),
    .B                  (B     ),
    .ready              (ready)
    );

always #10 sys_clk = ~sys_clk;



initial begin
    sys_clk = 1'd0;
    rst_n <= 1'd0;
    A <= 16'd0;
    B <= 16'd0;
    ready <= 1'd0;
    #10
    rst_n <= 1'd1;
    #100;
    A <= -17'd27;
    B <= 17'd5;
    ready <= 1'd1;
    #20
    ready <= 1'd0;
    
    #400;
    A <= 17'd33;
    B <= -17'd7;
    ready <= 1'd1;
    #20
    ready <= 1'd0;
    
    #400;
    A <= -17'd39;
    B <= -17'd2;
    ready <= 1'd1;
    #20
    ready <= 1'd0;
    
    #400;
    A <= 17'd17;
    B <= 17'd3;
    ready <= 1'd1;
    #20
    ready <= 1'd0;
    
end   

endmodule 

到了这里,关于基于verilog的除法器的实现的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 数字IC经典电路(2)——经典乘法器的实现(乘法器简介及Verilog实现)

    数字电路中乘法器是一种常见的电子元件,其基本含义是将两个数字相乘,并输出其乘积。与加法器不同,乘法器可以实现更复杂的运算,因此在数字电路系统中有着广泛的应用。 乘法器的主要用途是在数字信号处理、计算机科学以及其他数字电路应用中进行精确的数字乘法

    2024年02月06日
    浏览(47)
  • verilog编程之乘法器的实现

    知识储备 首先来回顾一下乘法是如何在计算机中实现的。 假设现在有两个32位带符号定点整数x和y,我们现在要让x和y相乘,然后把乘积存放在z中,大家知道,两个32位数相乘,结果不会超过64位,因此z的长度应该为64位。 z = x * y中,x是被乘数,在Verilog代码中 multiplicand表示

    2024年04月12日
    浏览(26)
  • 基于FPGA的64bit算术乘法器设计Verilog代码Quartus仿真

    名称:基于FPGA的64bit算术乘法器设计Verilog代码Quartus仿真(文末获取) 软件:Quartus 语言:Verilog 代码功能: Verilog HDL设计64bits算术乘法器 基本功能 1.用 Veriloghdl设计实现64bit二进制整数乘法器,底层乘法器使用16*168*88*328*16小位宽乘法器来实现底层乘法器可以使用FPGA内部P实现

    2024年02月19日
    浏览(38)
  • 各种加法器的比对分析与Verilog实现(1)

            接下来几篇博客,我将介绍常见的几种加法器设计,包括超前进位、Kogge-Stone、brent-kung、carry-skip、Conditional-Sum等加法器的原理及Verilog实现。        本文将介绍行波进位加法器、超前进位加法器的原理及Verilog实现。 1.1 原理        从下方原理图即可看出,

    2024年02月08日
    浏览(36)
  • verilog数组的定义、转换和加法器的实现

    看了别人的博客有的人也称reg [31:0] add0[0:12]这样的数组为二维数组,其实中二维数组不是真正意义上的数组,而是由多个寄存器组成的ROM或者RAM。我觉得这样理解好记一点:这个是一维数组,一共有0到12共13组数据,每组数据的宽度是0到31一共32个位宽。 优势:简单易于编程

    2024年02月11日
    浏览(32)
  • 各种加法器的比对分析与Verilog实现(2)

          本文将介绍Kogge-Stone加法器和brent-kung加法器的原理,在下一篇博客中我将用Verilog进行实现。 目录 1. 并行前缀加法器(Parallel-Prefix Adder, PPA)  2. Kogge-Stone加法器原理 3. brent-kung加法器原理        为了减少AND门的深度,PPA对CLA进行了进一步优化。不过PPA和CLA进行的计算

    2024年02月07日
    浏览(40)
  • FPGA流水线除法器(Verilog)原理及实现

      除法器的计算过程如下图所示。 假设数值的位宽为N。 Step1:分别将被除数和除数扩展至原来2倍位宽(2N),被除数在其左边补N位0,除数在其右边补N位0; Step2:将被除数依次左移(每次左移1位),末位补数值(该数值为被除数高N位与除数高N位的商),高N位为被除数高

    2024年02月11日
    浏览(27)
  • Verilog实现四位加/减法器(逻辑表达式)

      起因是老师要我们以逻辑表达式的形式交作业,强调是逻辑表达式,在网上找了许久,没有找到,便从一些文章中找到了灵感,特分享 说明: 此代码为四位加/减法器的Verilog代码实现(用的是逻辑表达式) 第一种表达: 第二种表达: 这是上面的波形图 参考链接: 原码

    2024年02月11日
    浏览(27)
  • FPGA学习笔记(1):使用Verilog实现常见的加法器

    本文使用VerilogHDL实现一些简单的加法器,本人水平有限,希望大佬能够多指证 Quartus Prime(18.0) Modelsim 半加器可以用于计算两个单比特二进制数的和,C表征进位输出,S表述计算的结果。 半加器的真值表 化简以后的逻辑表达式可以表达为: s = a’b+ab’ c = ab Verilog 代码块 全加

    2024年02月09日
    浏览(33)
  • 【FPGA】Verilog:二进制并行加法器 | 超前进位 | 实现 4 位二进制并行加法器和减法器 | MSI/LSI 运算电路

    0x00 并行加法器和减法器 如果我们要对 4 位加法器和减法器进行关于二进制并行运算功能,可以通过将加法器和减法器以 N 个并行连接的方式,创建一个执行 N 位加法和减法运算的电路。 4 位二进制并行加法器 4 位二进制并行减法器

    2024年02月05日
    浏览(43)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包