Vivado2020.1 ERROR: [Place 30-681] Sub-optimal placement for a global clock-capable IO pin and MMCM

这篇具有很好参考价值的文章主要介绍了Vivado2020.1 ERROR: [Place 30-681] Sub-optimal placement for a global clock-capable IO pin and MMCM。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

目录

错误log:

报错路径分析:

该类型问题解决方法:

如何加物理约束?


最近跑工程,跑一个小时后place阶段报错,完整的错误截图:

错误log:

Vivado2020.1 ERROR: [Place 30-681] Sub-optimal placement for a global clock-capable IO pin and MMCM

翻译一下报错log:

全局时钟IO管脚和MMCM之间非最优布局。为了解决这错误,可在IO和MMCM之间插入BUFG。

IO锁定在IOB_X1Y132 (在SLR 0区域)

MMCM被时钟布局引擎暂时放置在MMCME3_ADV_X1Y5 (在SLR1区域)

log中的SLR为Super Logic Region,多个die用SLR编号区分。

两个die之间用SSI互联(Stacked Silicon Interconnect)。

 

报错路径分析:

Vivado2020.1 ERROR: [Place 30-681] Sub-optimal placement for a global clock-capable IO pin and MMCM

 

管脚输入rx_clk时钟经过IBUF直接接到MMCM。

现在布局结果 MMCM放置在 SLR1区域  MMCME3_ADV_X1Y5。

而pin 布局在SLR 0区域IOB_X1Y132。

可以看到从时钟pin到mmcm输入跨SLR,所以信号必须经过BUFG走全局时钟网络,否则就会报错。

该类型问题解决方法:

  1. log提到的修改代码,在pin与mmcm之间插入BUFG。
  2. 增加物理约束,把mmcm放置在SLR0 区域。

     个人建议资源不冲突情况下用方法2 更方便,节省综合时间。

如何加物理约束?

首先我们打开综合网吧,ctrl+f 查找BELs类型,关键字输入MMCM,回车即可得到该FPGA所有MMCM资源,如下图

Vivado2020.1 ERROR: [Place 30-681] Sub-optimal placement for a global clock-capable IO pin and MMCM

 

Vivado2020.1 ERROR: [Place 30-681] Sub-optimal placement for a global clock-capable IO pin and MMCM

 

整个VU440 FPGA用clock region分区

X0Y0 -> X8Y14  一共9*15=135区域

其中

SLR0 :Y0 -> Y4

SLR1 :Y5 -> Y9

SLR2 :Y10 - Y14

MMCME3_ADV_X0Y14  对应时钟区域X0Y14

MMCME3_ADV_X1Y14  对应时钟区域X7Y14

一共2*15 = 30个MMCM资源

每个mmcm资源带两个PLLE3_ADV  一共60个PLL资源

通过网表我们查看输入时钟pin属于哪个时钟区域,可以设置对象高亮在device里面直接看到,如下图所示:

Vivado2020.1 ERROR: [Place 30-681] Sub-optimal placement for a global clock-capable IO pin and MMCM

 

rx_clk 输入pin用黄色标识,可以看到输入时钟管脚在时钟区域(clock region)X7Y2

管脚所在时钟区域X7Y2,该时钟区域里面包含一个MMCM,即MMCME3_ADV_X1Y2

所以新增加以下约束即可:文章来源地址https://www.toymoban.com/news/detail-451309.html

set_property LOC MMCME3_ADV_X1Y2 [get_cells fpga_top/eth_wrapper/rx_clk_mmcm_inst/mmcme3_adv_inst]

到了这里,关于Vivado2020.1 ERROR: [Place 30-681] Sub-optimal placement for a global clock-capable IO pin and MMCM的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【Vivado】 [Place 30-574] 时钟使用普通IO时的报错解决办法

    今天在创建工程时,由于只是一个测试用的工程,给时钟信号分配管脚时只是简单的使用了普通的IO管脚,在实现时报了以下错误 报错原因为,编译器在综合时会自动的为工程中的时钟信号生成一个全局时钟BUF,然后如果管脚分配将这个BUFG连接到普通管脚上,就会报以上错误

    2024年02月11日
    浏览(31)
  • Vivado报错[place 30-484] The packing of LUTRAM/SRL instance

            在Vivado Pr的时候报了一个错误:[Place 30-484] The packing of LUTRAM/SRL instance into capable slices could not be obeyde ....后面会继续说LUTRAM超了多少,而且会报错在你的ila上。           这个错误实际上是你的ila加了不被允许加的inout类型的信号,因为inout信号既是输入也是输出,一

    2024年02月13日
    浏览(55)
  • 基于petalinux2020.1的环境搭建及从QSPI启动流程

    基于petalinux2020.1的QSPI启动流程 开发工具 Vivado2020.1 Petalinux2020.1 Ubuntu18.04.4(64bit) 虚拟机vmware-16.2.3 开发板:XC7Z020-CLG400 Petalinux坏境搭建 用虚拟机安装完ubuntu18.04.4后,更换阿里云下载源: cd /etc/apt sudo gedit sources.list 输入密码 sources.list文件打开后,把内容更换如下,保存退出;

    2023年04月08日
    浏览(33)
  • Idea2020.1编译maven工程显示jar包不存在的解决方法

    主要是给自己看的,以免以后遇到这个问题找不到方法。 问题描述:idea编译maven工程会显示jar包不存在,用maven编译可以通过,仔细检查jar包是都在的,新创建也编译不通过 找了好多方法都不能解决,最终发现以下两种可以解决 解决方案:第一种:(不推荐) 打开idea的Se

    2024年02月12日
    浏览(46)
  • 2020/7/30

    Educational Codeforces Round 143 (Rated for Div. 2)C_Tea_Tasting.cpp //题意:有n种茶,n个人,第i种茶有 a[i]的量,第i个人一次能喝 b[i], 第i个人从第i种茶开始往前喝,求每个人最多能喝多少茶。 //思路:纯模拟时间超限,对于a数组中的每个元素,他要减的是包括i在内以及其右边的b数组中

    2024年02月15日
    浏览(40)
  • 1985-2020年我国30m土地利用覆盖数据介绍

           土地覆盖(LC)决定了地球各圈层之间的能量交换、水和碳循环。准确的 LC 信息是环境和气候研究的基本参数。考虑到在过去几十年中,随着经济建设的发展,中国发生了巨大的变化,连续和精细的 LC 监测是迫切需要的。然而,目前,由于缺乏足够的训练样本和计算能

    2024年02月06日
    浏览(28)
  • 0101sub-process /usr/bin/dpkg returned an error code-dpkg-linux问题集

    kali linux有段时间没用了,现在有点时间想着继续学习下网络安全,那就升级更新下。 等待一段时间后,下载完毕执行安装和更新,更新的过程中报错退出了 问题1 更新kali-themes 需要kali-themes-common 2022.x版本,但是当前kali-themes-common为2023版本,导致 尝试解决问题,1步你不是要

    2024年02月15日
    浏览(29)
  • 解决小新13pro2020连接4k60hz显示器后,出现卡顿,查看刷新率只有30hz的问题

    我买了一台4k60hz的屏幕,手上的笔记本为轻薄本小新13pro2020 独显MX350版本,笔记本连上屏幕后,发现鼠标移动有拖影,打开和浏览网页出现卡顿情况。 通过分析,我意识到可能是显卡带不动导致的降低刷新率,于是在显示设置里查看,发现刷新率果然只有30hz。 但是原因并不

    2024年02月09日
    浏览(216)
  • 学习记录681@Gitlab升级实战

    前言 我的Linux目前是centos8,目前使用的gitlab是从https://mirrors.tuna.tsinghua.edu.cn/ 下载下来的gitlab-ce-12.10.1-ce.0.el8.x86_64.rpm,然后安装的。 这里需要注意如果是centos8需要下载el8的gitlab,如果是centos7需要下载el7的gitlab,遗憾的是当我再次进入https://mirrors.tuna.tsinghua.edu.cn/,里面却没

    2024年02月15日
    浏览(31)
  • vivado 错误提示[DRC REQP-21] 以及 vivado design initialization error

    个人在使用hls生成IP核时,并没有出现报错的问题,当我将自己的IP加入到vivado block design时,综合也并没有任何的问题出现,但当跑到implementation时,出现以下的一些错误, [DRC REQP-21] enum_AREG_2_connects_CEA1_GND_connects_CEA2_GND_connects_CEA1_connects_CEA2: (马赛克…)mfYi_DSP48_2_U/p: When t

    2024年02月06日
    浏览(44)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包