Quartus II 的入门级使用

这篇具有很好参考价值的文章主要介绍了Quartus II 的入门级使用。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

好久没有用VHDL写东西了,今天需要完成一个项目,重新复习一下

新建工程

  1. 新建工程

file-->New Project Wizard,

next, 选择存放的路径+名字(project+top-level 名字要相同),

next,File name名字同上,

next,选择响应的硬件,若只用于仿真不需要硬件的话,就默认

  1. 新建VHDL文件

文件名同工程名,编写代码,文件保存在工程中。

此次简单写了一个D触发器的代码,为了验证新安装的软件是有有问题。

-- D trigger achievement

library ieee;
use ieee.std_logic_1164.all;

ENTITY Dtrigger IS PORT(
    D, clk : IN STD_LOGIC;
         Q : OUT STD_LOGIC
    );
END ENTITY Dtrigger;

ARCHITECTURE one of Dtrigger is 
    SIGNAL sig_save : STD_LOGIC;
    BEGIN 
        PROCESS(clk)
            BEGIN 
                if clk'event and clk='1' then
                -- == rising_edge(clk) then
                    sig_save <= D;
                end if;
        END PROCESS;
    Q <= sig_save;
END ARCHITECTURE one;
  1. 编译

点击编译按钮,若成功,说明软件安装过程没有问题;失败的话,根据提示,一一寻找解决问题的办法。

Quartus II 的入门级使用
  1. 新建波形文件

为了进一步看一下我们这个器件是否成功,需要查看输入输出波形是否正确。

a. 新建

file-->new-->Verfication/Debugging Files-->University Program VWF

Quartus II 的入门级使用
b. 设置

Edit中选择End Time设置仿真终止时间,默认为1us,根据需要改,一般改大点

c. 在弹出界面中出现对应的这个波形文件

Quartus II 的入门级使用
Quartus II 的入门级使用

需要一些配置,我安装的Quartus默认使用的

  1. 点击clk, d,选择上面的波形,设置好波形;

在Simulation->Options-> 默认的为ModelSim,如果你的电脑没有安装ModelSim, 会无法使用。可以选Quartus II simulator

Quartus II 的入门级使用
  1. 出现问题:ModelSim-Altera was not found. Please install ModelSim-Altera which is included with the Quartus II installer, or use the Quartus II Simulator instead by selecting "Simulation > Options > Quartus II Simulator"

  1. 选择Simulation > Options > Quartus II Simulator,点击运行

生成运行后的文件

  1. 结果文件,在时钟的上升沿变化信号。

Quartus II 的入门级使用
  1. 查看硬件原理图

Tools下拉菜单里的Netlist Viewers–>RTL Viewer可以查看VHDL描述的硬件电路

Quartus II 的入门级使用

出现的问题

Quartus II默认使用的是ModelSim-Altera,Simulation Waveform Editor默认为ModelSim。

ModelSim-Altera was not found. Please install ModelSim-Altera which is included with the Quartus II installer, or use the Quartus II Simulator instead by selecting "Simulation > Options > Quartus II Simulator"

解决这个问题:

a. 在Simulation Waveform Editor中设置Simulation->Options-> Quartus II simulator;use the Quartus II Simulator instead by selecting "Simulation > Options > Quartus II Simulator"

b.设置EDA Simulation Tool. 在Quartus中Assignments->Simulation->Tool name中选择ModelSim->Apply, 必须要点击Apply, 点击OK不会生效。 系统默认的为ModelSim-Altera。原因就在这里

Quartus II 的入门级使用

复习语法

-- D trigger achievement

--库:数据的集合,内含各类包定义、实体、构造体等
library ieee; --VHDL的标准库的扩展
use ieee.std_logic_1164.all; --VHDL的标准库

-- 定义了一个实体, 格式
--Entity 实体名 IS
--     [类属参数说明]
--     [端口说明] --PORT(端口名1, 端口名N:方向:类型)
--End Entity
ENTITY Dtrigger IS PORT(
    D, clk : IN STD_LOGIC;
         Q : OUT STD_LOGIC
    );
END ENTITY Dtrigger;


-- 构造体格式
-- Arcthitecture 构造体名 of 实体名 is
--  [定义语句] 内部信号、常数、元件、数据类型、函数等定义
-- begin
--   [并行处理语句和block、 process、function、procedure]
-- end 构造体名


ARCHITECTURE one of Dtrigger is 
    SIGNAL sig_save : STD_LOGIC;
    BEGIN 
        PROCESS(clk)
            BEGIN 
                if clk'event and clk='1' then
                -- == rising_edge(clk) then
                    sig_save <= D;
                end if;
        END PROCESS;
    Q <= sig_save;
END ARCHITECTURE one;

Varible(变量),在程序中可以被赋值(:=),赋值后立即变化为新值;

Signal(信号)在程序中可以赋值(<=),但不立即更新,当进程挂起后,才开始更新。文章来源地址https://www.toymoban.com/news/detail-452533.html

到了这里,关于Quartus II 的入门级使用的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Quartus II使用——3 LED流水灯

    1.学习要求 目标:实现8个LED灯(LED 0~LED 7)间隔100ms依次点亮,然后全部熄灭,再依次点亮。 2.仿真分析 clk是50Mhz时钟,那么一个周期为(1X10^9)/(50X10^6)=20ns,1秒对应50000000个时钟周期,100ms=5000000个时钟周期。 复位时,LED灯全部熄灭    :led=8\\\'hff 点亮第1个LED灯               

    2023年04月22日
    浏览(28)
  • 使用Quartus II做FPGA设计遭遇的一些问题

    问题1:Error:Width mismatch in pin_name – source is 。。。 ** 问题2:Error (275028): Bus name allowed only on bus line – pin “data[7…0]” Error (275029): Incorrect connector style at port “test_data[7…0]” for symbol “inst” of type top

    2024年02月11日
    浏览(42)
  • Quartus的Signal Tap II的使用技巧

    Signal Tap II全称Signal Tap II Logic Analyzer,是第二代系统级调试工具,它集成在Quartus II软件中,可以捕获和显示实时信号,是一款功能强大、极具实用性的FPGA片上调试工具软件。 传统的FPGA板级调试是由外接的逻辑分析仪连接到FPGA的控制引脚,将内部信号引出至引脚I/O上,接着进

    2024年02月03日
    浏览(22)
  • 在quartus ii中创建testbench,并使用Modelsim仿真

    1.创建testbench Processing→Start→Start Test BenchTemPlate Writer 得到如下提示,表示创建成功: 2.打开并编辑测试脚本 用“打开文件夹”打开工程所在的文件夹→simulation→modelsim→“文件类型”按图所示更改→选择.vt格式文件,打开 文件中,注释掉或删掉$display(“Running testbench”); 和

    2024年02月02日
    浏览(27)
  • 今天我们来浅谈一下ChatGPT到底是什么东西

    这是一篇非学术专业性的文章,而我也是为了解chatGPT而学了两三天人工智能,所以哪里写的不好的不对的地方还希望海涵。 图灵测试 1950年,人工智能之父艾伦·图灵提出乐“图灵测试”。就是说当你在不面对面的时候跟机器人进行文字聊天的时候,如果你很难分辨出来对方

    2023年04月09日
    浏览(35)
  • Intel Quartus II IP之DP1.4 工程的创建与使用

    Win10电脑安装了Quartus 21.4,这可以满足绝大多数工程,特别是对于简单调用fifo/ram等的工程,但是想要学习Quartus的HDMI/DP等高速接口类IP,首先需要创建HDMI/DP IP的设计demo工程,此时还需要安装Eclipse与WSL(Windows Subsystem for Linux)。 具体安装方法参考: Intel开发环境Quartus、Eclip

    2024年01月18日
    浏览(29)
  • 码一些有用的东西网站的域名被拦截怎么办? 教你快速解除各种拦截

    今天跟大家讲解一下网站域名被拦截怎么办?怎么去解决,相信这个问题一直都是很多人的困惑吧,其实大部分行业的拦截都是可以进行处理的,针对新人来讲可能还不知道什么网站域名被拦截,下面我详细来讲解下。 什么是网站域名拦截? 网站拦截就是别人投诉了你的网

    2023年04月19日
    浏览(39)
  • FPGA之Quartus II 自带的IP核的使用(IP核仅自己学习,未完成)

    1、锁相环:       锁相环是对接收到的信号进行处理,并从其中提取某个时钟的相位信息。锁相环由鉴相器、环路滤波器和压控振荡器组成。  锁相环原理图 鉴相器:         用来鉴别输入信号输入信号Ui与输出信号输出信号Uo之间的相位差相位差,并输出误差电压Ud。Ud

    2023年04月09日
    浏览(32)
  • 今天是情人节呐,我利用Python制作了好多表白的东西,快来吧~

    今天是情人节那,有没有现在没有对象的宝子,评论里扣个111哈哈 目录 玫瑰 爱心树 丘比特 多彩气球 阿玥的小课堂

    2024年02月21日
    浏览(34)
  • FPGA新起点V1开发板(三)——Quartus II软件的使用(流水灯的烧录以及sof转jic的方法记录)

    先创建一个工程文件夹 flow_led 再创建四个子文件夹 doc存放工程的设计文档或者其他一些datasheet文档、数据手册 par存放工程文件 rtl存放设计文件,也就代码 sim存放工程的仿真文件 第一个第四个可以为空,但是做此可以有良好的习惯 这是打开一个工程向导 这是选择FPGA的芯片

    2024年02月04日
    浏览(34)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包