头歌计算机组成原理实验—运算器设计(4)第4关:16位快速加法器设计

这篇具有很好参考价值的文章主要介绍了头歌计算机组成原理实验—运算器设计(4)第4关:16位快速加法器设计。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

第4关:16位快速加法器设计

实验目的

帮助学生理解成组进位产生函数,成组进位传递函数的概念,熟悉 Logisim 平台子电路的概念,能利用前述实验封装好的4位先行进位子电路以及4位快速加法器子电路构建16位、32位、64位快速加法器,并能利用相关知识分析对应电路的时间延迟,理解电路并行的概念。

视频讲解

####实验内容 在 Logisim 中打开 alu.circ 文件,在对应的子电路中利用四位先行进位电路和四位快速加法器构造十六位组间先行进位,组内先行进位快速加法器,并验证其功能是否正常,快速加法器引脚定义如图所示。其中 X,Y 为16位相加数,Cin 为进位输入,S 为和数输出,Cout 为进位输出,G,P 为16位成组进位生成函数和成组进位传递函数。

电路框架

alu.circ

头歌计算机组成原理实验—运算器设计(4)第4关:16位快速加法器设计

电路引脚

信号 输入/输出 位宽 说明
X 输入 16 位 加数
Y 输入 16 位 加数
C0 输入 1 位 进位输入
S 输出 16 位 运算和
C16 输出 1 位 最 16 位进位位
C15 输出 1 位 第 15 位进位位
G* 输出 1 位 成组生成函数
P* 输出 1 位 成组传递函数

电路测试

完成实验后,利用文本编辑工具打开 alu.circ 文件,将所有文字信息复制粘贴到 Educoder 平台的 alu.circ 文件中,再点击评测按钮即可进行本关测试。平台会对你设计的电路进行自动测试,为方便测试,请勿修改子电路封装,本关测试用例如下:

 
  1. Cnt X Y C0 Sum G P C16 C15
  2. 00 8001 0005 0 8006 0 0 0 0
  3. 01 2ece ea08 1 18d7 1 0 1 1
  4. 02 c8df e0e1 1 a9c1 1 0 1 1
  5. 03 9591 d843 0 6dd4 1 0 1 0
  6. 04 2f12 3a2a 0 693c 0 0 0 0
  7. 05 ba6a b8da 1 7345 1 0 1 0
  8. 06 cb1c 9d86 0 68a2 1 0 1 0
  9. 07 4649 fad8 0 4121 1 0 1 1
  10. 08 bc08 4570 0 0178 1 0 1 1
  11. 09 3a12 d42b 0 0e3d 1 0 1 1
  12. ...

常见调试问题

为什么左右两边的内容完全一致,还判我错啊!

头歌计算机组成原理实验—运算器设计(4)第4关:16位快速加法器设计

显示窗口没有显示完整,左右移动一下就会发现不一样的地方了。


开始你的闯关任务吧,升级打怪中....

参考答案:

直接复制代码,字数太多,发不了,后续会上传文件

参考电路图

如果对你有所帮助,感谢点赞加收藏!

完整的代码可以到【WRITE-BUG数字空间】我的个人学习圈查看。

附上链接:

https://www.writebug.com/article/d06cb88a-f626-11ed-ada1-0242ac1a0006https://www.writebug.com/article/d06cb88a-f626-11ed-ada1-0242ac1a0006文章来源地址https://www.toymoban.com/news/detail-453072.html

到了这里,关于头歌计算机组成原理实验—运算器设计(4)第4关:16位快速加法器设计的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 计算机组成原理实验

    上学期刚结束了计算机组成原理课程,在这里把上学期做过的实验都记录一下。这里一共有4个:海明码的编码和解码、ALU、字库、RAM。我们是使用的谭志虎:自己动手画CPU。 中间参考了很多大佬,我在gitee上fork一下原来的代码,再把我自己的上传到仓库中。这里贴一下我的

    2024年02月04日
    浏览(48)
  • 计算机组成原理实验——一、ALU实验

    1.掌握ALU模块的组成和接口,理解ALU的功 能。 2.通过编程调用ALU模块计算斐波那契数。 3.掌握Verilog中多模块编程方法和实现。 用 Verilog 设计一个算术运算单元 ALU,采 用纯组合逻辑设计,32bit 宽。 利用该 ALU 完成斐波那契数 f(n),其中 2n16。 可选 –改成3段式实现(已实现)

    2023年04月22日
    浏览(33)
  • 计算机组成原理实验(logisim)

      文章目录 目录 文章目录 实验一:Logisim软件的使用 实验二:数据的表示 1.汉字的编码实验: 实验三:运算器组成实验 1.多位串行加法器和多位可控加减电路的设计 2.快速加法器的设计 3.多位算术逻辑单元ALU设计 4.阵列乘法器设计 4.阵列除法器设计 实验四:存储系统综合实

    2024年02月03日
    浏览(32)
  • Hust计算机组成原理实验

    点击 资源栏-Gates 选项中的门电路,即可在右边画布添加 添加成功后如下: 引脚可在菜单栏中找到 也可在 资源栏-Wiring 中找到 添加入画板后,可在属性表中修改面向方向 最后得到如下图 注:方形为输出,圆形为输入。 使用 光标 连接两个结点即可 灰色:线的位宽未知。发

    2024年02月04日
    浏览(31)
  • 计算机组成原理实验——三、存储器实验

    1.掌握存储器的工作原理和接口。 2.掌握存储器的实现方法和初始化方法。 3.掌握RISC-V中存储器的存取方式。 1.利用vivado IP核创建64 32的ROM,并在 系数文件中设置数据为123489ab; 2.利用vivado IP核创建64 32的RAM,并在 其上封装一个模块,使得其能完成risc-v 的load/store指令功能。

    2024年02月04日
    浏览(39)
  • 计算机组成原理实验——二、寄存器实验

    1.掌握寄存器堆的工作原理和接口。 2.掌握寄存器堆的实现方法。 3.掌握寄存器堆在微处理器中承担的功能。 设计一32*32bit 的寄存器文件,即32 个 32 位的寄存器文件(寄存器组) –具备两组读端口及一组写端口; –通过读端口可从0~31 号的任意地址读取 数据; –通过写端口可

    2024年02月06日
    浏览(35)
  • 计算机组成原理实验 实验一 存储器实验

    目录 实验1  存储器实验 一、实验目的 二、实验原理 三、实验电路 四、实验步骤 五、实验数据分析 六、思考题 1.熟悉DVCC计算机组成原理实验机的结构,掌握其主要操作。 2.掌握静态随机存储器RAM工作特性。 3.掌握静态随机存储器RAM的数据读写方法。 4.能够运用静态随机存

    2023年04月18日
    浏览(45)
  • 【计算机组成原理实验】CPU设计

    设计并实现一个简单处理器模型完成功能验证。 ASUS VivoBook + Windows10 + Vivado2019.2,语言为 Verilog HDL。 1. 处理器应基于 6.5 指令集或 MIPS 指令集或 RISCV 指令集进行设计或自行设计指令集。 2. 处理器的控制器结构应为微程序控制器或集硬布线控制器。 3. 处理器需支持算术运算、

    2024年02月08日
    浏览(29)
  • 计算机组成原理 累加器实验

    计算机组成原理实验环境 理解累加器的概念和作用。 连接运算器、存储器和累加器,熟悉计算机的数据通路。 掌握使用微命令执行各种操作的方法。 做好实验预习,读懂实验电路图,熟悉实验元器件的功能特性和使用方法。在实验之前设计好要使用的微命令,填入表 6-2 、

    2024年02月06日
    浏览(29)
  • 计算机组成原理 存储器实验

    计算机组成原理实验环境 掌握静态随机存储器 RAM 的工作特性。 掌握静态随机存储器 RAM 的读写方法。 做好实验预习,熟悉 MEMORY6116 芯片各引脚的元器件的功能和连接方式,熟悉其他实验元器件的功能特性和使用方法,看懂电路图。 按照实验内容与步骤的要求,认真仔细地

    2024年02月02日
    浏览(31)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包