D触发器仿真

这篇具有很好参考价值的文章主要介绍了D触发器仿真。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

本篇文章为基础教学,主要探究在Quartus中设计一个D触发器并进行仿真,同时验证时序波形。


一、软件安装和准备

参考链接:

二、D触发器的认识和了解:

简介:
D触发器是一个具有记忆功能的,具有两个稳定状态的信息存储器件,是构成多种时序电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。
因此,D触发器在数字系统和计算机中有着广泛的应用。触发器具有两个稳定状态,即"0"和"1",在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。
D触发器有集成触发器和门电路组成的触发器。触发方式有电平触发和边沿触发两种,前者在CP(时钟脉冲)=1时即可触发,后者多在CP的前沿(正跳变0→1)触发。
D触发器的次态取决于触发前D端的状态,即次态=D。因此,它具有置0、置1两种功能。
对于边沿D触发器,由于在CP=1期间电路具有维持阻塞作用,所以在CP=1期间,D端的数据状态变化,不会影响触发器的输出状态。
D触发器应用很广,可用做数字信号的寄存,移位寄存,分频和波形发生器等等

特征:
1)功能表:

D CLK Q QN
0 时钟上升沿 0 1
1 时钟上升沿 1 0
x 0 last Q last QN
x 1 last Q last QN

2)方程:
Qn+1=D
3)波形图:
D触发器仿真

三、在Quatus上创建D触发器原理图并仿真

1、创建工程

进入软件后点击File处:
D触发器仿真

再点击New Project Wizard:

D触发器仿真
点击Next:
D触发器仿真

设置填写工程项目名及其存储位置:
文章来源地址https://www.toymoban.com/news/detail-454453.html

到了这里,关于D触发器仿真的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • mysql基础之触发器的简单使用

    1.建立学生信息表 2.建立学生补考信息表 3.建立触发器(一共建立了三个触发器) 4.插入数据 5.查询结果  查询s1表  查询s2表  

    2024年02月13日
    浏览(33)
  • VHDL语言基础-时序逻辑电路-触发器

    目录 触发器: D触发器: 触发器的VHDL描述: 触发器的仿真波形如下:​编辑 时钟边沿检测的三种方法: 方法一: 方法二: 方法三: 带有Q非的D触发器: 带有Q非的D触发器的描述: JK触发器: JK触发器: JK触发器的VHDL描述: T触发器: 真值表: T触发器: T触发器的VHDL描述

    2024年02月05日
    浏览(46)
  • 时序逻辑基础之D触发器&分频器

    D触发器原理如下 D触发器模拟波形图如下 由图可知Q值不仅与D有关,同时需要考虑到clk上升沿到来后有效 输入一个时钟信号,将输出的信号的时钟周期变为输入信号的时钟周期的N倍,我们将这种器件叫做N分频器。 我们的目的是生成一个N分频器,可以实现任意比例分频。需

    2024年02月06日
    浏览(41)
  • 【IC设计】时序逻辑的基础—锁存器、触发器

    波形图中,表达时序逻辑时如果时钟和数据是对齐的,则默认当前时钟沿采集到的数据位在该时钟上升沿前一时刻的值。表达组合逻辑时如果时钟和数据是对齐的,则默认当前时钟沿采集到的数据为该始终上升沿同一时刻的值。 组合逻辑和时序逻辑的区别 : 主要是看 数据工

    2024年02月03日
    浏览(29)
  • 硬件基础-时序逻辑电路-触发器画波形图问题解析

    本文章可能只适合软件工程专业对于计算机硬件基础。对于专业数电的朋友可能就是小巫见大巫了,笔者才疏学浅,仅供本专业基础课程同学的参考,如有错误希望大佬斧正! 先来看下下面这道题: 试画出图(a)所示电路中触发器输出Q1、Q2端的波形,CLK的波形如图(b)所

    2024年02月02日
    浏览(33)
  • WPF 零基础入门笔记(1):WPF静态页面,布局+样式+触发器

    WPF 零基础入门笔记(0):WPF简介 WPF MaterialDesign 初学项目实战(0):github 项目Demo运行 WPF MaterialDesign 初学项目实战(1)首页搭建 WPF MaterialDesign 初学项目实战(2)首页导航栏样式 WPF MaterialDesign 初学项目实战(3)动态侧边栏 WPF MaterialDesign 初学项目实战(4)侧边栏路由管理

    2024年02月11日
    浏览(30)
  • 脉冲触发的触发器(主从触发器)

    脉冲触发的动作特点: (1)触发器的翻转分两步动作。 第一步:当CLK以高电平为有效信号时,在CLK= 1期间主触发器接收输入端(S、R或J、K)的信号,被置成相应的状态,而从触发器不动。 第二步: CLK下降沿到来时从触发器按照主触发器的状态翻转,所以Q、Q’端状态的改变发生在

    2024年02月04日
    浏览(38)
  • 【FGPA】Verilog:JK 触发器 | D 触发器 | T 触发器 | D 触发器的实现

    0x00 JK 触发器 JK 触发器是 RS 触发器和 T 触发器的组合,有两个输入端 J 和 K,如果两个输入端都等于 1,则将当前值反转。 行为表

    2024年02月05日
    浏览(37)
  • 数据库触发器简介——修改数据的触发器、删除数据的触发器

    修改数据的触发器 更新数据 思考下面这个触发器会触发几次?几条数据就触发几次。

    2024年02月15日
    浏览(38)
  • 【MySQL触发器】触发器的使用、创建、修改及删除

    一、什么是触发器 二、创建触发器 ①创建一个insert事件触发器 ②创建一个delete 事件触发器  三、触发器包含多条执行语句 四、查看触发器  ①SHOW TRIGGERS语句查看触发器 ②查看系统表triggers实现查看触发器   五、触发器的删除       当我们对一个表进行数据操作时,需

    2023年04月08日
    浏览(40)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包