设计分享|74LS138译码器实现流水灯

这篇具有很好参考价值的文章主要介绍了设计分享|74LS138译码器实现流水灯。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

具体实现功能:

74LS138译码器实现流水灯的控制。

设计分享|74LS138译码器实现流水灯

设计介绍

设计分享|74LS138译码器实现流水灯

51单片机简介

51单片是一种低功耗、高性能CMOS-8位微控制器,具有8K可编程Flash存储器,使得其为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。

51系列单片机具有以下标准功能:

8k字节Flash,512字节RAM,

32位I/O口线,看门狗定时器,

内置4KB EEPROM,

MAX810复位电路,

三个16位定时器/计数器,

一个6向量2级中断结构,

全双工串行口。

另外, 51系列在空闲模式下,CPU停止工作,允许RAM、定时器/计数器、串口、中断继续工作。掉电保护方式下,RAM内容被保存,振荡器被冻结,单片机停止工作,直到下一个中断或硬件复位为止。本设计所使用的芯片可兼容以下所有的51系列单片机(包括AT系列和STC系列)。

设计分享|74LS138译码器实现流水灯

设计思路

文献研究法:搜集整理相关研究资料,阅读文献,为研究做准备;

调查研究法:通过调查、分析、具体实验等方法,发现相关存在问题和解决办法;

比较分析法:比较不同设计的具体原理,以及同一类传感器性能的区别,分析系统的研究现状与发展前景;

软硬件设计法:通过软硬件设计实现硬件,最后测试各项功能是否满足要求。

单片机类设计论文参考模板:

毕设无忧|单片机类毕设论文模板

设计内容

仿真图(protues8.7)

本设计利用protues8.7软件实现仿真设计,具体如图。

注:免费分享,请按照下图自行绘制仿真!!

设计分享|74LS138译码器实现流水灯

protues8.7软件资料及仿真解决办法:

proteus8.7安装及破解教程(内附安装包)

protues仿真常见问题解决方案

Protues8.7简易教程

程序(Keil5)

本设计利用KEIL5软件实现程序设计。

注:全部代码免费分享,请自行建立工程!!

本设计由C语言编写,全部代码如下:

#include <reg52.h>
#define uint unsigned int#define uchar unsigned charvoid Delay(uint x){   uchar i;  while(x--)  {     for(i=0;i<120;i++);  }}void main(){   P2 = 0x00;  while(1)  {     P2 = (P2+1)%8;    Delay(50);  }}

运行结果如图:

设计分享|74LS138译码器实现流水灯

Keil C51软件资料及使用教程:

Keil C51安装及破解教程(内附安装包)

KEIL5使用技巧

Keil5简易教程

更多设计内容:

单片机实物设计

单片机仿真设计项目

单片机教程

免费设计资料(C语言)

免费设计资料(汇编)

常见问题解决方案

欢迎关注微信公众号“电子工程师成长日记”!!

联系我们请在公众号后台回复关键词“客服”!!文章来源地址https://www.toymoban.com/news/detail-455937.html

到了这里,关于设计分享|74LS138译码器实现流水灯的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA二四译码器设计及实现

    FPGA二四译码器设计及实现 在数字电路中,二进制的计数方式广泛应用于各种场合。然而,当我们需要控制多个开关或LED时,手动进行二进制转换并不是一种好的选择。因此,在这种情况下,二进制译码器就显得尤为重要。 二四译码器是一种将两个输入字线转换为四个输出字

    2024年02月04日
    浏览(35)
  • 哈夫曼编/译码器的设计与实现(结合文件)

    前言 一、问题描述: 二、数据结构设计: 1、课设要求: 2、具体实现: 三、功能(函数)设计 1、课设要求 2、具体实现: 四、界面设计 五、程序设计  1、流程图/程序思想详细介绍: 2、函数功能说明如下: 六、运行与测试 1、课设要求 2、具体实现: (1)测试的数据及

    2024年02月02日
    浏览(28)
  • 第二节 3-8译码器设计实现与相关语法基础

    目录 前言 一、三八译码器基本理论 1.3-8译码器框图 2.3-8译码器真值表 二、fpga实现步骤 1.设计输入 2.功能仿真 1.testbench编写 2.仿真结果 1.3-8译码器基本理论 2.fpga设计实现三八译码器 3.基本语法:always 语句/数字表示形式/位拼接{} 提示:以下是本篇文章正文内容,下面案例可

    2024年02月11日
    浏览(25)
  • 减法器的设计与实现并用译码器显示16、10进制

    大家新年好,我是呼噜噜,在上一篇简易加法器里我们了解了半加器和全加器的设计与实现,今天我们来看下CPU中减法器是如何实现的。文章比较长,大家可以收藏反复观看 我们来看一个最常见的例子, 2-1 =1 这是减法,但它等同于 2+ (-1) =1 这其实是加法。从运算逻辑上来说

    2024年02月06日
    浏览(40)
  • FPGA数字电路设计:三八译码器的原理与实现

    FPGA数字电路设计:三八译码器的原理与实现 三八译码器是常用于数字电路设计中的一种重要元件。它的作用是将三位二进制信号转换成八个输出信号,通常用于地址解码、选通控制、状态指示等应用场景。 在FPGA数字电路设计中,三八译码器的实现需要借助Verilog HDL语言进行

    2024年02月08日
    浏览(32)
  • 用译码器来设计组合逻辑电路

     三线到八线:输入端只有三个所以只能是三变量  我们先来看书上的一个例子  设计的过程第一步 将函数表达式整理成最小项和的形式 我们用来举例,不是最小项的形式 三变量函数可以用三变量的最小项来表示  为了看的更清楚,我们写成 最小项的编号 ,这样子更好看

    2024年02月08日
    浏览(32)
  • (2)FPGA仿真——3-8译码器设计

    译码是编码的逆过程,在编码时,每一种二进制代码,都赋予了特定的含义,即都表示了一个确定的信号或者对象。把代码状态的特定含义翻译出来的过程叫做译码,实现译码操作的电路称为译码器。或者说,译码器是可以将输入二进制代码的状态翻译成输出信号,以表示其

    2024年02月08日
    浏览(35)
  • Verilog 3线-8线译码器设计

    任务描述 相关知识 3线-8线译码器的功能 case语句 编程要求 说明  源代码 设计一个3线-8线译码器。运用Verilog HDL进行设计,完善译码器的功能描述风格代码,具备组合逻辑电路的设计仿真和测试的能力。 需要掌握: 1.3线-8线译码器的功能; 2.如何用case语句进行逻辑功能的描

    2024年02月08日
    浏览(40)
  • EDA实验-----3-8译码器设计(QuartusII)

    目录 一. 实验目的 二. 实验仪器 三. 实验原理及内容 1.实验原理 2.实验内容 四.实验步骤 五. 实验报告 六. 注意事项  七. 实验过程 1.创建Verilog文件,写代码 ​编辑 2.波形仿真 3.连接电路图 4.烧录操作 学会Verilog HDL的case语句应用。 学会Verilog HDL的if语句应用。 学会使用Ver

    2024年02月05日
    浏览(44)
  • FPGA学习小例子:38译码器设计与仿真

    译码器74x138是数字电路课程重点内容之一。译码器的设计比 较简单,使用Verilog语言实现译码器就更为简单。本教程设计了一个3-8译码器并做了仿真。 打开vivado,点击File 填写项目名,以及选择项目路径 并勾选“Do not specify sources at this time”,意思是先创建工程,后期再添加

    2024年02月09日
    浏览(38)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包