使用modelsim编译altera库

这篇具有很好参考价值的文章主要介绍了使用modelsim编译altera库。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

本文简单介绍如何使用modelsim单独编译altera 器件库,如果采用modelsim编译其他厂家的器件库该方法也适用。

1、在modelsim安装路径新建altera_lib文件夹用于存放编译altera库生成的文件位置。
使用modelsim编译altera库
2、在modelsim中切换工作目录到altera_lib文件夹下:file -> change directory。
使用modelsim编译altera库
3、在modelsim中新建库,命名为altera_sim:file -> new -> library。
使用modelsim编译altera库
4、编译altera仿真库到altera_sim中:compile -> compile。
使用modelsim编译altera库
在library栏选择刚刚建立的库altera_sim,将Quartus安装时提供的仿真库compile进来,一般在quartus安装目录下的quartus\eda\sim_lib中(选中该文件夹下所有.v文件)。
注:如果是编译其他厂商的器件库只需要找到厂商提供的库文件,将其编译到modelsim中即可。
使用modelsim编译altera库
5、等待编译完成即可在library栏中看到刚刚在modelsim中建立的altera_sim库中出现如下文件。
使用modelsim编译altera库
6、此时即可使用altera 的ip进行仿真了,每次打开软件将工作目录切换到altera_lib文件夹下就可以使用altera的ip进行仿真,如果工作目录不在altera_lib文件夹下会发现生成的库在modelsim中不存在,为了避免每次打开modelsim都要切换工作目录,进行如下操作:打开modelsim所在路径的上级文件夹的modelsim.ini文件,右键属性,取消文件的只读属性,添加该命令altera_sim = $MODEL_TECH/…/altera_lib/altera_sim,保存,再勾选上文件的只读属性,再次打开modelsim工具可以看到modelsim已经能够自动找到刚刚编译完成库的位置了。
使用modelsim编译altera库文章来源地址https://www.toymoban.com/news/detail-456142.html

到了这里,关于使用modelsim编译altera库的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 简单介绍一个编译器的结构(下)

    《编译器结构介绍(下)》主要是围绕编译器后端知识和技术展开的一个简单介绍,编译器前端技术的介绍在文章《 编译器结构介绍(上)》中,如果对编译器整个技术栈不了解的话,先阅读上,再阅读下这篇文章,会更容易理解。 经过中间代码生成过程产生的中间代码是

    2024年02月09日
    浏览(30)
  • 对Modelsim例程文件进行编译、仿真

    要学习verilog同学可以看过来,其实在modelsim里自带一些例程供我们参考学习,本文将带大家一起完成Verilog例程文件的编译和仿真操作,细致地说就是找到官方例程,对它进行编译、仿真得到波形。 Step1:首先找到modelsim软件中的例程:以modelsim-SE10.5版本为例,依次打开modelt

    2024年02月16日
    浏览(31)
  • VIVADO和MODELSIM联合仿真编译库问题

    初次在VIVADO中使用MODELSIM仿真器,或仿真时由于编译库问题报错,可进行如下步骤,个人经验,源于百度,如有问题,请多多指教。 Compiled Library location处选择编译库存放的位置,可在任意处新建文件夹即可;Simulation executable path选择modelsim安装目录下win64文件夹,点击Compile进

    2024年02月12日
    浏览(42)
  • 学习如何独立的使用Modelsim进行仿真验证?——编写verilog文件并查看仿真波形

    本篇记录如何独立的使用Modelsim进行仿真,便于之后查看。 Modelsim独立仿真的步骤: 创建工作文件夹——编译设计文件——导入及运行仿真——调试结果 具体的: 1、新建一个工程 指定工程名称、路径和默认库名称。一般情况下,设定Default Library Name默认库名称为work。 指定的

    2023年04月08日
    浏览(32)
  • CMakeLists.txt编写简单介绍:CMakeLists.txt同时编译.cpp和.cu

    不想付费的同学可以参考本人知乎添加链接描述,关于CMakeLists.txt的相关介绍,这里不赘诉,本人的出发点是借助于CMakeLists.txt掌握基本的C++构建项目流程,下面是本人根据网络资料以及个人实践掌握的资料。 下图是一个使用CUDA实现hello world的项目,一般来说,一个标准的C

    2024年04月10日
    浏览(28)
  • 16 RTL仿真工具介绍—Modelsim脚本操作(Modelsim仿真 覆盖率测试)

    深入还是得靠自己学——尤其是脚本代码的编写 目录 1.Flist常用写法 2.Debussy——检查语法错误+debug代码 2.Linux版的Debussy——verdi 3.Modelsim——do file脚本 4.按脚本方式操作Modelsim 1.首先更改工作目录,到Modelsim文件夹下 2.写脚本代码 3.执行脚本、仿真 4.加载波形、界面操作、保存

    2024年02月08日
    浏览(42)
  • Vivado时序约束TCL命令——获取引脚(get_pins)在FPGA设计中起着重要作用。本文将为大家详细介绍get_pins命令的语法和使用方法。

    Vivado时序约束TCL命令——获取引脚(get_pins)在FPGA设计中起着重要作用。本文将为大家详细介绍get_pins命令的语法和使用方法。 get_pins命令用于获取指定对象(Object)的引脚(Pin)列表。我们可以使用get_pins来获取具有特定命名约定的引脚(如CLOCK、RESET等),并通过对这些引

    2024年02月05日
    浏览(31)
  • 本文通过实例介绍了Redis的基础知识、数据类型、数据结构以及典型应用场景 值得一看!

    作者:禅与计算机程序设计艺术 2017年,Redis是基于MIT许可发布的一个开源的高性能键值数据库,其开发语言为C语言。它提供了多种数据类型(strings、hashes、lists、sets、sorted sets等),分布式支持(可横向扩展),内存存储,持久化功能,事务处理功能等。作为一种高性能的

    2024年02月06日
    浏览(50)
  • 【colab】谷歌colab免费服务器训练自己的模型,本文以yolov5为例介绍流程

    目录 一.前言 二.准备工作 1.注册Google drive(谷歌云盘) Google Driver官网:https://drive.google.com/drive/ Colab官网:https://colab.research.google.com/ 2.上传项目文件 3.安装Colaboratory 4.colab相关操作和命令 5.项目相关操作  三.异常处理         本文介绍了在谷歌开放平台Google colab上租用免

    2023年04月08日
    浏览(39)
  • Modelsim编译报错“(vlog-2401) Extra semicolon found. This is permitted in SystemVerilog, but not permitt”

    Modelsim编译报错“(vlog-2401) Extra semicolon found. This is permitted in SystemVerilog, but not permitted in Verilog.” 今天在进行使用modelsim进行仿真,在编译过程中遇见了报错“(vlog-2401) Extra semicolon found. This is permitted in SystemVerilog, but not permitted in Verilog.” 在经过查询资料之后发现了错误出现的

    2024年02月03日
    浏览(31)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包