【工控老马】PLC六路抢答器系统设计详解

这篇具有很好参考价值的文章主要介绍了【工控老马】PLC六路抢答器系统设计详解。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一、前景

随着我国经济和文化实业的发展,在很多公开竞争场合要求有公正的竞争裁决,诸如证券、股票交易及各种智力竞赛等,因此出现了抢答器。最初的抢答器是由优先权编码器构成的逻辑电路,其运算速度慢,线路复杂,可靠性不高,功能也比较简单,特别是当抢答路数很多时,实现起来就更加困难。因此,一种能够体现竞赛的公开、公平、公正性的知识竞赛抢答器成为一种需求。

本设计将以PLC为核心设计了系统结构图、程序指令、梯形图以及输入输出端子的分配方案,在保留了原始抢答器的基本功能的同时又增加一系列的实用功能并简化其电路结构,其将以其控制方便,灵活,只要改变输入PLC的控制程序,便可改变竞赛抢答器的抢答方案,从而使得竞赛不断完善其公平、公正性。

可编程控制器是以计算机为核心的通用自动控制装置,它的功能强、可靠性极强、编程简单、使用方便、体积小。现已广泛应用于工业控制的各个领域,它以微处理器为核心,用编写的程序进行逻辑控制、定时、记数和算术运算等,并通过数字量和模拟量的输入/输出来控制机械设备或生产过程。

PLC的学习比一般编程学习困难在于,要完成一个控制系统不仅需要掌握一定的编程技术,更为重要的是要知道如何针对实际应用的需要选择合适的PLC型号,然后进行资源配置,设计控制系统。

该设计为全自动洗衣机的PLC控制,主要介绍了全自动洗衣机的工作原理,控制系统的PLC的选型和资源的配置,控制系统程序设计与调试,控制系统PLC程序。

最后,在该设计过程中给予极大鼓励和帮助的老师、同学,在此表示衷心的感谢。由于在设计过程中存在许多不足,希望老师同学指正。

1.1课题研究背景

目前国内外市场上已有很多类型的知识竞赛抢答器,其大致采用模拟电路、数字电路、单片机或者PLC芯片、计算机控制系统等四类产品。对于采用模拟电路或者数字电路的产品,其技术相当成熟。但是随着功能的增多,电路也越复杂,并且成本偏高,故障率高,显示方式简单或者没有,无法准确判断抢按按钮的行为,也不便于参数调节及其功能的升级换代。

对于计算机控制系统来说,其程序简单,反应灵敏,便于参数调节及其功能的升级换代,但鉴于其必须配合计算机实用,可操作性差,没有得到广泛的应用。而对于科技飞速发展的今天,PLC、单片机应用的不断深入,带动了传统控制检测技术的不断更新,并鉴于其本身具有的优点,以PLC、单片机为核心的部件成为主流。

可编程控制器是以计算机为核心的通用自动控制装置,它的功能强、可靠性极强、编程简单、使用方便、体积小。现已广泛应用于工业控制的各个领域,它以微处理器为核心,用编写的程序进行逻辑控制、定时、记数和算术运算等,并通过数字量和模拟量的输入/输出来控制机械设备或生产过程。

PLC的学习比一般编程学习困难在于,要完成一个控制系统不仅需要掌握一定的编程技术,更为重要的是要知道如何针对实际应用的需要选择合适的PLC型号,然后进行资源配置,设计控制系统。

早期的可编程控制器称作可编程逻辑控制器(Programmable Logic Controller,PLC),它主要用来代替继电器实现逻辑控制。随着技术的发展,这种采用微型计算机技术的工业控制装置的功能已经大大超过了逻辑控制的范围,因此,今天这种装置称作可编程控制器,简称PLC。但是为了避免与个人计算机(Personal Computer)的简称混淆,所以将可编程序控制器简称PLC,PLC自1969年美国数据设备公司(DEC)研制出现,现行美国,日本,德国的可编程序控制器质量优良,功能强大。

PLC它采用一类可编程的存储器,用于其内部存储程序,执行逻辑运算,顺序控制,定时,计数与算术操作等面向用户的指令,并通过数字或模拟式输入/输出控制各种类型的机械或生产过程。

传统的普通抢答器主要存在一下缺点:
⑴ 在一次抢答过程中,当出现超前违规抢答时,只能处理违规抢答信号,而对没有违规的有效信号不能进行处理,因而使该次抢答过程变为无效。

⑵ 当有多个违规抢答时,优先编码电路只能选择其中一个,或利用抢答电路电子元件的“竞争”选择其中一个。对于后者由于抢答电路制作完毕后电子元件被固定,各路抢答信号的“竞争”能力也被固定,因而本质上也有优先权。普通抢答器存在不公平性。

⑶ 当有多个违规抢答时,普通抢答器只能“抓住”其中一个违规者。因而出现了“漏洞”。

现在大多抢答器都是以PLC、单片机为控制核心的智能抢答器,它对采样获得的各种抢答信号进行分析。但仅有抢答功能的抢答器已经不能满足当今社会的需要。该设计不但有抢答功能,而且还有一个计分系统,能分别对四个选手进行计分,并能够对分数进行加、减。带计分功能的智能抢答器将会取代一般的智能抢答器,更好的服务于社会。

1.2 课程设计研究内容

系统设计主要包括硬件和软件两大部分,依据控制系统的工作原理和技术性能,将硬件和软件分开设计。硬件设计部分包括电路原理图、合理选择元器件、绘制线路图,然后对硬件进行调试、测试,以达到设计要求。软件设计部分,首先在总体设计中完成系统总框图和各模块的功能设计,拟定详细的工作计划;然后进行具体设计,包括各模块的流程图,选择合适的编程语言和工具,进行代码设计等;最后是对软件进行调试、测试,达到所需功能要求。

在系统设计中设计方法的选用是系统设计能否成功的关键。硬件电路是采用结构化系统设计方法,该方法保证设计电路的标准化、模块化。硬件电路的设计最重要的选择可编程的PLC,并确定与之配套的外围芯片,使所设计的系统既经济又高性能。硬件电路设计还包括输入输出接口设计,画出详细电路图,标出芯片的型号、器件参数值,根据电路图在仿真机上进行调试,发现设计不当及时修改,最终达到设计目的。软件设计的方法与开发环境的选取有着直接的关系,本系统由于是采用三菱FX可控制编程。此编程工具更有可靠、可拓展、可维护性。

二、 整体方案选择

2.1整体功能介绍

知识竞赛抢答器,顾名思义就是用于比赛时,跟对手比反应时间,思维运转快慢的新型电器。随着社会科技技术的不断发展,它的应用场合也随之增加;技术含量大大提升;更加方便可靠。目前,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校及企事业单位,它为各种竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。用PLC进行知识竞赛抢答器设计,其控制方便,灵活,只要改变输入PLC的控制程序,便可改变竞赛抢答器的抢答方案。

2.2竞赛抢答器控制要求

⑴ 知识竞赛抢答器能使6个队同时参加抢答。
⑵ 设裁判队为裁判台,参赛对为参赛台。裁判台设有音响和裁判灯,并且设有裁判台开始按钮SB0和裁判台复位按钮SB7;参赛台设有参赛台抢答按钮以及参赛指示灯。1-6号参赛台分别对应按钮SB1-SB6及参赛指示灯L1-L6。

⑶ 知识竞赛抢答器能适合以下比赛规则:(1)主持人按下抢答启动按钮后,数码显示“9”并开始倒计时显示,电铃同时响1秒钟,表示抢答开始。如果在主持人按下抢答启动按钮10 秒后无人抢答(数码倒计时到“0”),表示竞赛者放弃该题抢答结束,各抢答按钮失效。

(2)抢答开始后,在10秒内第一个按下抢答按钮的竞赛者对应的数码亮(如第3组抢答到,则亮“3”,以此类推)、电铃响1秒钟,表示有人抢答成功,此时其他抢答按钮都失效。

(3)主持人确认抢答成功的竞赛者后,则由主持人按下计时答题按钮开始计时答题,要求答题时间可以根据题目难度进行调整。此时数码显示灭。

(4)在计时答题时间只剩下10秒钟时,数码倒计时显示“9”到“0”。当倒计时到“0”时,电铃响1秒钟,表示答题时间到,竞赛者停止回答问题。

(5)主持人可以随时再按下抢答启动按钮进行下一轮的抢答。

灯光与音响信号的意义如下:(见图2-1结构流程图)
① 音响叫(响1S)+某指示灯亮,由某参赛队正常抢答。
② 音响叫(响1S)+某指示灯亮+总指示灯亮,某参赛队违规。
③ 音响叫(响1S)+裁判指示灯亮,无人抢答或答题超时。

(6)在某个题结束后,裁判员按下台上的复位按钮SB7,抢答器恢复原来的状态,为下一轮抢答作好准备。

(7)各输出端口统一采用直流24V电源。

三、硬件电路设计

3.1控制特点分析
智能竞赛抢答器通过PLC进行按控制要求编程,其主要的输入就是通过裁判员和参赛选手的按钮,然后将信号传递给信息分析中心(PLC),PLC将根据信号作出相应的响应。竞赛抢答器有八个输入信号(即八个按钮),九个输出信号(即六个灯管信号、一个音响信号、二个指示灯数码管输出信号)。

由上可知PLC共有:

八个输入点,九个输出点。系统控制结构框图如下图3-1所示

【工控老马】PLC六路抢答器系统设计详解
【工控老马】PLC六路抢答器系统设计详解

分析:SA代表“抢答开始”按钮对应输入寄存器X0,SB1-SB6代表6组参赛选手的常开按钮,分别对应X1-X6,输出寄存器Y0-Y6分别对应显示器a-g,Y11为启动指示灯,Y10为蜂鸣器,Y7为倒计时指示灯,各输出窗口统一采用直流24V电源。

【工控老马】PLC六路抢答器系统设计详解

四、软件设计

4.1 I/O地址分配

输入分配 开始抢答按钮 SA X0
SB1 X1
SB2 X2
SB3 X3
SB4 X4
SB5 X5
SB6 X6
复位按钮 X7
输出分配 数码管显示a Y0
数码管显示b Y1
数码管显示c Y2
数码管显示d Y3
数码管显示e Y4
数码管显示f Y5
数码管显示g Y6
倒计时显示灯 Y7
蜂鸣器 Y10 启动指示灯 Y11

七段译码管显示器如图所示
【工控老马】PLC六路抢答器系统设计详解
其中显示器b、c亮显示数字1;a、b、d、e、g亮显示数字2;a、b、c、d、g亮显示数字3;b、c、f、g亮显示数字4;a、c、d、f、g亮显示数字5;a、c、d、e、f、g亮显示数字6。

设置辅助继电器
宣布开始 M8002
定时器 T0

4.2 结构流程图

【工控老马】PLC六路抢答器系统设计详解

当裁判按下开始按钮时,四个参赛对处于抢答状态,假如是一号参赛队先抢到题目,PLC先判断抢答是否成功,若成功,则进行下一部看是否在规定的抢答时间内答题,若在规定时间内,则答题结束;若超时,则提示主持人;如果抢答没有成功,则判断是否抢答犯规,若法规,某法规台灯亮,总台灯亮出指令。

4.3 梯形图设计与分析

【工控老马】PLC六路抢答器系统设计详解
【工控老马】PLC六路抢答器系统设计详解
主持人宣布开始,即启动M8002,并且按下“抢答开始”按钮X0后,点亮启动指示灯Y11;在启动指示灯被点亮的前提下,按下X1,显示管b、c亮,显示数字1,即Y1、Y2有输出,并与Y0、Y3、Y4、Y5、Y6的常闭触点串联构成互锁,保证b、c亮时,a、d、e、f、g不亮,串联复位开关X7常闭触点用于停止,X1与蜂鸣器、输出继电器Y10并联,用于自保持,锁住抢答器,使其他按钮无效;同样,按下X2,显示管a、b、d、e、g亮,显示数字2,即Y0、Y1、Y3、Y4、Y6有输出,串联Y2、Y5的常闭触点构成互锁,保证a、b、d、e、g亮时,c、f不亮;按下X3,显示管a、b、c、d、g亮,显示数字3,即Y0、Y1、Y2、Y3、Y6有输出,串联Y4、Y5的常闭触点构成互锁,保证a、b、c、d、g亮时,e、f不亮;按下X4,显示管b、c、f、g亮,显示数字4,即Y1、Y2、Y5、Y6有输出,串联Y0、Y3、Y4的常闭触点构成互锁,保证b、c、f、g亮时,a、d、e不亮;按下X5,显示管a、c、d、f、g亮,显示数字5,即Y0、Y2、Y3、Y5、Y6有输出,串联Y1、Y4的常闭触点构成互锁,保证a、c、d、f、g亮时,b、e不亮;按下X6,显示管a、c、d、e、f、g亮,显示数字6,即Y0、Y2、Y3、Y4、Y5、Y6有输出,串联Y1的常闭触点构成互锁,保证a、c、d、e、f、g亮时,b不亮;

4.4系统源程序

【工控老马】PLC六路抢答器系统设计详解

五、程序调试及分析

5.1程序运行过程分析说明

1、调试步骤
1)、按照图4-3所示的外部接线图接线,核对地址,逐点进行,确保正确无误。
2)、检查模拟量输入输出。检查输入输出模块是否正确,工作是否正常。必要时,用标准仪器检查输入输出的精度。
3)、检查与测试指示灯。对控制面板上对应的指示灯进行检查,如有指示灯不亮,一方面查看指示灯是否已坏,另一方面检查逻辑关系是否正确。指示灯是反映系统工作的一面镜子,先调好它,将对进一步调试提供方便。
4)、将图5-1PLC六路智能抢答器梯形图程序写入PLC,然后从初始步开始调试。用元件监视功能监视a、b、c、d、e、f、g的状态变化。注意顺序功能图中有可能出现的路径,在调试时应从初始步开始,分别经过可能出现的路径,一步一步地检查转换过程是否正确,最后是否能返回初始步。
5)、手动按钮来实施模拟现场,观察运行结果是否与要求相符合。

5.2调试结果

通过上述的调试步骤后,得出调试结果,由于实验设备以及其他外部条件的限制导致,我们用一个指示灯代替蜂鸣器。

参赛选手如要抢答主持人提出的问题,必须在主持人宣布“开始”即按下“抢答开始”按钮后,由参赛选手抢先按下自己桌上的抢答器按钮(即X1、X2、X3、X4、X5、X6),此时8段数码管显示器会显示最先按下按钮的组号,同时蜂鸣器指示灯亮,并锁住抢答器,使其它组再按也无效,当按下复位按钮响应后,数码管显示器熄灭,蜂鸣器指示灯熄灭,参赛选手开始回答问题,同时倒计时指示灯点亮。

参赛选手必须在规定的时间内回答问题。当倒计时结束时,指示灯熄灭,同时蜂鸣器指示灯亮提示时间到,此时参数选手应立即停止答题。文章来源地址https://www.toymoban.com/news/detail-458333.html

到了这里,关于【工控老马】PLC六路抢答器系统设计详解的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 带有显示的8路抢答器课程设计

    一: 课程设计目的    抢答器是竞赛问答中一种常用的必备装置智力竞赛时一般分为若干组各组对主持人提出的问题进行抢答。抢答时要判定哪组优先并予以指示和鸣叫。其设计包含了组合逻辑电路和时序逻辑电路的部分原理。。       课程需求 完成电路的初步设计对电

    2023年04月08日
    浏览(30)
  • 基于51单片机的抢答器设计

    一、 设计任务 基于单片机的抢答器的设计,实现抢答器的基本功能。 二、 性能指标要求 1. 需要自己设计电路并焊接电路板。 2. 有一个主持人控制开关和6路抢答按扭,当主持人允许抢答时才能进行抢答操作,并用一个数码管显示抢答选手的号码,同时其他选手不能再抢答。

    2024年02月10日
    浏览(29)
  • 数电实训-八路电子抢答器的设计

    设计并制作一个带有数码显示功能的八路电子抢答器。 8名选手编号依次为1-8,各有一个抢答按钮,按钮的编号与选手的编号对应。 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选

    2024年02月04日
    浏览(37)
  • 基于51单片机的四路抢答器设计

    使用51单片机设计一个思路抢答器 正常抢答:主持人按下开始按钮后数码显示管显示最先按下按钮台号,蜂鸣器发出音响,其它抢答按钮无效;主持人按下开始按钮之前,若选手按下抢答器,抢答违规,显示器显示违规台号,违规指示灯亮,蜂鸣器发出音响,其他按钮不起作

    2024年02月08日
    浏览(34)
  • 数字电路-八路抢答器的设计(proteus仿真)

    要求设计一个数字系统,使其能够完成竞赛抢答的功能,八人参赛,每人各自控制一个按键开关作为抢答器。 主持人可控制电路清除原有显示结果,并控制电路是否允许进行抢答。 当主持人给出“开始”信号后,显示器显示倒计时(10秒),选手需在计时结束前进行抢答,主

    2024年02月08日
    浏览(35)
  • 基于单片机的八路抢答器的设计

    1.设计要求: 1.以单片机为核心,设计一个八位竞赛抢答器:同时供八名选手或八个代表队比赛,分别用八个按钮S0~S7表示。 2.设置一个系统清除和抢答控制开关S,开关由主持人控制。 3.抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号

    2024年02月07日
    浏览(34)
  • STM32单片机的抢答器设计

    一.硬件方案          以STM32单片机为核心的八路抢答器采用了数字显示器LCD1602,自动锁存显示结果设计思想,它能根据不同的抢答输入信号,经过单片机的控制处理并产生不同的与输入信号相对应的输出信号,最后通过LCD1602显示,即使两组的抢答时间相差几微秒,也可分

    2024年02月11日
    浏览(34)
  • FPGA实现八位数字抢答器设计

    八位数字抢答器设计要求: 抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。 设置一个系统清除和抢答控制开关S,该开关由主持人控制。 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清

    2023年04月15日
    浏览(61)
  • 基于C语言的单片机八路抢答器课程设计

    1 、系统总体方案设计 本系统由硬件系统和软件系统组成。 硬件系统包括按键控制模块、中央处理AT89C51系统、指示灯显示模块、LED数码管显示模块和扬声器提示模块。 软件系统包括主程序、定时器0中断服务程序、定时器1中断服务程序、延时程序、按键程序和显示程序。 为

    2024年02月04日
    浏览(39)
  • 基于AVR128单片机抢答器proteus仿真设计

    一、系统方案 二、硬件设计 原理图如下: 三、单片机软件设计 1、首先是系统初始化 void timer0_init() //定时器初始化 { TCCR0=0x07; //普通模式,OC0不输出,1024分频 TCNT0=f_count; //初值,定时为10ms TIFR=0x01; //清中断标志位 TIMSK=0x01; //使能定时器0溢出中断 SREG|=0x80; //开启全局中断 }

    2024年02月11日
    浏览(38)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包