2021-06-10 Multisim的74LS192功能表及555时基74LS190

这篇具有很好参考价值的文章主要介绍了2021-06-10 Multisim的74LS192功能表及555时基74LS190。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

缘由https://ask.csdn.net/questions/7445811?spm=1005.2025.3001.5141以及60s倒计时电路连接后没有显示 - 24小时必答区

2021-06-10 Multisim的74LS192功能表及555时基74LS190

2021-06-10 Multisim的74LS192功能表及555时基74LS190

2021-06-10 Multisim的74LS192功能表及555时基74LS190

74LS192芯片是一个具有双计数功能的芯片,既可以做加计数,也可以做减计数。

1、A、B、C、D    置数输入端,管脚悬空相当于接低电平“0”;

2、Qa、Qb、Qc、Qd     数字信号输出端

3、~BO借位信号输出端

4、~CO   进位信号输出

5、~Load  置数端,低电平有效

6、DOWN   减计数时钟信号输入端

7、UP   加计数时钟信号输入端

8、CLR   置0端,高电平有效文章来源地址https://www.toymoban.com/news/detail-460195.html

到了这里,关于2021-06-10 Multisim的74LS192功能表及555时基74LS190的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 北邮22级信通院数电:Verilog-FPGA(10)第十周实验 实现移位寄存器74LS595

    北邮22信通一枚~ 跟随课程进度更新北邮信通院数字系统设计的笔记、代码和文章 持续关注作者 迎接数电实验学习~ 获取更多文章,请访问专栏: 北邮22级信通院数电实验_青山如墨雨如画的博客-CSDN博客 目录 一.代码部分  二.管脚分配 三.实现过程讲解及效果 shift_register.v d

    2024年02月05日
    浏览(45)
  • 74LS系列芯片简记——00-09

            由于兴趣与实际需求特学习对应的数字芯片,以此笔记简略记录用途等,如有不足欢迎批评指正。欢迎大家踊跃交流。前期门芯片讲述较为简单。 74LS00( 四组2输入与非门) 逻辑为: 可用于进行与非判断,基本RS触发器,与非门方波发生器等。其中与非门1、2与外界

    2024年02月08日
    浏览(29)
  • 使用74LS161设计任意进制计数器

    可预置的四位二进制同步计数器74LS161具有并行预置数据、清零、置数、计数和保持功能,并且有进位输出端,可以串接计数器使用。引脚排列如图7-1所示,功能表见7-2所示。 图7-1 74LS161引脚排列 从下表7-2中可知,该计数器具有信号清零端 ,信号使能端CEP、CET,信号置数端

    2024年02月02日
    浏览(48)
  • 用74ls90及少量器件制作电子时钟

    2022-12-22 前言:用74ls90及少量器件制作电子时钟是一个电子技术基础的作业,设计的过程中顺便也就写了这篇文章,分享一下在设计中的一些思路。 内容要求:电子时钟,1.实现时分秒,2.可进行电子时钟清零,3.具有设置时、分、秒功能。 设计一个元件时,首先最重要的是了

    2024年02月08日
    浏览(86)
  • 74LS595 74HC595使用方法以及驱动数码管显示(附:使用代码)

    由于74HC595与74LS595功能是一样的没有区别。LS和HC代表不同工作速度,HC代表CMOS芯片电路中工作速度最高的产品,LS表示普及、通用型产品。其驱动方法也是一样的。 74LS595是串行输入并行输出的器件,可以串联使用,达到引脚拓展的目的。主要引用在数码管的驱动,点阵的驱动

    2024年02月06日
    浏览(133)
  • 设计分享|74LS138译码器实现流水灯

    具体实现功能: 74LS138译码器实现流水灯的控制。 设计介绍 51单片机简介 51单片是一种低功耗、高性能CMOS-8位微控制器,具有8K可编程Flash存储器,使得其为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。 51系列单片机具有以下标准功能: 8k字节Flash,512字节RAM,

    2024年02月06日
    浏览(37)
  • 输入端口少如何扩展?74hc148或74ls148级联在arduino中实现16转4的应用

    上一篇博文我们使用矩阵键盘时面临的一个问题就是占用的端口多,以前我们扩展输出端口使用了74hc595,那么这里我们面临的是输入端口少需要扩展的问题,那么可以使用什么芯片来完成了?有没有直接可使用的芯片呢? 文章原出处: https://blog.csdn.net/haigear/article/details/13

    2024年02月04日
    浏览(64)
  • FPGA设计开发(基础课题):74LS160计数器芯片设计

    一、设计目的 1、学会用HDL语言设计时序电路; 2、用HDL语言设计74LS160计数器芯片的数字功能。 二、设计原理 计数器是最常用的寄存器逻辑电路,从微处理器的地址发生器到频率计都需要用到计数器。一般计数器可以分为两类:加法计数器和减法计数器。加法计数器每来一个

    2024年02月08日
    浏览(42)
  • 3线8线译码器74LS138设计VHDL代码74138

    名称:3线8线译码器74LS138设计 软件:QuartusII 语言:VHDL 代码功能: 3线8线译码器74LS138的设计 使用VHDL代码 74138 演示视频:74LS1383线8线译码器74LS138设计 FPGA代码Verilog/VHDL代码资源下载网:www.hdlcode.com 代码下载: 74LS1383线8线译码器74LS138设计(代码在文末付费下载)软件:Quar

    2024年02月03日
    浏览(37)
  • 北邮数电实验作业参考 #利用74LS138实现全加器

    各位好我是Toporanger  本人只会写代码 不会教人 毕竟自己的代码也是改来改去最终成功的 如果有不够精简的地方还请见谅  我会先贴下代码 然后贴上管脚的绑定图  无论是拿来救急还是作为参考都希望帮助到你们 题目: 1.  用 Verilog HDL 设计一个 3-8 译码器 74LS138 ,并用该译码

    2024年04月22日
    浏览(30)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包