vivado:差分信号和单端信号 的相互转换 IBUFDS OBUFDS

这篇具有很好参考价值的文章主要介绍了vivado:差分信号和单端信号 的相互转换 IBUFDS OBUFDS。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

目录

目录

一、背景介绍

二、差分信号转单端信号 

(1)使用Verilog

(2)使用VHDL

三、单端转差分信号 

(1)使用Verilog

(2)使用VHDL


一、背景介绍

           FPGA内部所有信号都是单端的,差分只出现在引脚上。

         在FPGA的开发过程中经常遇到,差分信号转单端信号或者单端信号转差分的问题。本文内容在于结合vivado使用Verilog和VHDL两种语言,分别实现二者的相互转换。

二、差分信号转单端信号 

        FPGA内部所有信号都是单端的,差分只出现在引脚上。输入差分信号转换为单端信号,使用IBUFDS。

(1)使用Verilog

  1. 首先在工程代码中定义差分信号。例如:vivado:差分信号和单端信号 的相互转换 IBUFDS OBUFDS
  2. 进入vivado,左击language templates,搜索 ”ibufds” ;

    vivado:差分信号和单端信号 的相互转换 IBUFDS OBUFDS

    vivado:差分信号和单端信号 的相互转换 IBUFDS OBUFDS

  3. 点开ibufds,把其中的代码复制到定义差分信号的代码里;vivado:差分信号和单端信号 的相互转换 IBUFDS OBUFDS
  4. 按下面的操作,修改复制过来的代码。vivado:差分信号和单端信号 的相互转换 IBUFDS OBUFDS

(2)使用VHDL

          其实使用Verilog和VHDL没什么太大的区别,区别在于表达方式不同而已:

vivado:差分信号和单端信号 的相互转换 IBUFDS OBUFDS

    IBUFDS_inst : IBUFDS
    generic map (
        DIFF_TERM => FALSE, 
        IBUF_LOW_PWR => TRUE, -
        IOSTANDARD => "DEFAULT")
    port map (
       O  => PrSl_ApsClk_s  ,  
       I  => ST_APS_OUTCTK_P,  
       IB => ST_APS_OUTCTK_N  
    );

三、单端转差分信号 

         FPGA内部所有信号都是单端的,差分只出现在引脚上。把内部信号转为差分信号输出,用OBUFDS。

(1)使用Verilog

vivado:差分信号和单端信号 的相互转换 IBUFDS OBUFDS

 把第四个框里的复制到需要用到的模块,替换O、OB、I中的信号即可。

(2)使用VHDL

vivado:差分信号和单端信号 的相互转换 IBUFDS OBUFDS

 文章来源地址https://www.toymoban.com/news/detail-462030.html

到了这里,关于vivado:差分信号和单端信号 的相互转换 IBUFDS OBUFDS的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 关于差分晶振的LVDS、LVPECL、HCSL、CML模式介绍及其相互转换

    差分晶振一般用在高速数据传输场合,常见的有LVDS、LVPECL、HCSL、CML等多种模式。这些差分技术都有差分信号抗干扰性及抑制EMI的优点,但在性能、功耗和应用场景上有很大的区别。下图列举了最常用的几种差分信号技术和它们的主要参数。 LVDS信号的摆幅低,为±350mv, 对应功

    2023年04月09日
    浏览(25)
  • ADC的单端、伪差分和差分输入

    单端输入即信号只有一个输入端口,很好理解。那么什么是伪差分和差分输入呢?         如上图左所示为伪差分输入,其实质上还是是单端输入,因为 VIN-上的信号并不被采样,保持和转换,而是做为共模抑制端用来消除 VIN+和地平面上的共模噪声,因此 VIN-上的电压输入范

    2024年02月17日
    浏览(30)
  • 单端与差分的接线方法

    本文想要说明单端和差分信号的接线方法。当然我们先要介绍一下单端和差分信号,然后在说明其接线方法。 一、单端及差分信号 单端信号是指输入信号由一个参考端和一个信号端构成,这个参考端一般就是地端。信号是通过计算信号端和地端的差值得到的。 差分信号则是

    2024年02月05日
    浏览(41)
  • 【单端S参数与差分S参数转化】

    对于单端信号来说,用单端S参数来描述其传输特性,如常见的2端口网络,其S参数包括S11(1端口回波损耗RL)、S21(插入损耗IL)、S12(插入损耗IL)、S22(2端口回波损耗RL)。其中无源网络中,S12=S21,故只需要知道S11(1端口回波损耗)、S21(插入损耗)、S22(2端口回波损耗

    2024年02月02日
    浏览(27)
  • 压力应变桥信号处理系列隔离放大器 差分输入转换直流变送模块

    DIN11 IPO 压力应变桥信号处理系列隔离放大器是一种将差分输入信号隔离放大、转换成按比例输出的直流信号导轨安装变送模块。产品广泛应用在电力、远程监控、仪器仪表、医疗设备、工业自控等行业。此系列模块内部嵌入了一个高效微功率的电源,向输入端和输出端提供隔

    2023年04月26日
    浏览(40)
  • 【差分时钟转单端时钟】FPGA原语设计详解

    【差分时钟转单端时钟】FPGA原语设计详解 在现代电子系统中,为了提高数据传输的速率和抗干扰能力使用差分信号传输时钟信号已成为一种常见的做法。而FPGA作为可编程逻辑器件,其灵活性和可重构性得到越来越广泛的应用。本文将介绍利用FPGA实现差分时钟转单端时钟的原

    2024年02月04日
    浏览(42)
  • 差分转单端电路、仪表运放、4-20mA转0-5V

    一.差分转单端  二.仪表运放  三.4-20mA转0-5V---单运放形式    

    2024年02月17日
    浏览(42)
  • 差分信号,差分对和耦合(一)——基本概念介绍

    首先说一下差分信号,简单来说,一个差分对就是中间带有一些耦合的一对传输线。 我们一般会在信号传输路径和返回路径之间测量单端信号,但是对于差分信号来说,我们会在差分对内的两根信号线之间进行测量。  在上图中,V1代表着line1单线的单端电压,V2代表着line2单

    2024年02月14日
    浏览(37)
  • 差分信号简介

    今天和大家聊一聊本人对差分信号的理解: 差模信号主要由一个正向信号,以及一个靠非门绕X轴镜像翻转的信号构成,两个信号传输至接收端会通过误差放大器使信号做差值(有效地倍增信号电平V±(V-)),传输过程中的共模噪声经过误差放大器会相互抵消,从而恢复得到

    2024年02月01日
    浏览(24)
  • 差分信号传输

    一、差分传输 二、差分信号LVDS(Low voltage differential signal) 三、差分走线 四、差分走线的优势 随着信号传输速率的提升,差分信号得到越来越广泛的运用,例如:LVDS、P2P等典型差分互连接口,目前基本所有的高速信号均使用了差分互连。 差分信号:VpVn沿着各自传输线传输,

    2024年02月04日
    浏览(23)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包