【安路FPGA】FPGA开发日记(一)

这篇具有很好参考价值的文章主要介绍了【安路FPGA】FPGA开发日记(一)。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一、开发环境的安装

首先去安路官网下载安装包工具与资料下载-国产FPGA创新者 - 安路科技

下载后解压【安路FPGA】FPGA开发日记(一)点击安装包直接傻瓜式安装即可

备注:安装后无法打开或者缺文件等情况是安路安装包不包含一些C++库,需要我们自己下载一个

DirectX修复工具(最好下载最新版,因为最新版C++库更完善)

 二、一切准备工作做完开始建立第一个属于我们自己的过程,首先打开TD开发环境这里以点亮一个LED灯为演示。

Project→new Project→输入项目名称、选择存放位置与开发板芯片型号→点击OK

【安路FPGA】FPGA开发日记(一)

 三、工程建立后添加/新建.v文件

【安路FPGA】FPGA开发日记(一)

添加现有的.v文件  Add→选择文件存放位置→双击添加ok确认

【安路FPGA】FPGA开发日记(一)

 文件添加成功【安路FPGA】FPGA开发日记(一)

 四、编写代码运行

 文本编译工具添加在1的位置可以添加我们自己的文本编译软件,在2的位置为安路自己的编译软件【安路FPGA】FPGA开发日记(一)

 代码编写

 在1的位置点击编辑,如果编译不通过文件会出现在2的位置,2的位置为存放报错文件,3的位置可以看到保存信息等等【安路FPGA】FPGA开发日记(一)

 五、代码编译成功联合仿真验证Modelsim

第一步在modelsim安装路径下新建Anlogic→src文件夹用来存放仿真库在TD安装路径内拷贝库文件,并把.v文件与tb文件拷贝到同一目录下

【安路FPGA】FPGA开发日记(一)【安路FPGA】FPGA开发日记(一)

 【安路FPGA】FPGA开发日记(一)

第二步、打开modelsim软件 为TD新建一个库,首先新建一个库包

 【安路FPGA】FPGA开发日记(一)

 然后把TD库放进去

【安路FPGA】FPGA开发日记(一)

 新建仿真工程,建立相对应芯片的仿真库,并添加设计和仿真文件

【安路FPGA】FPGA开发日记(一)【安路FPGA】FPGA开发日记(一)

 点击仿真,这里要把仿真文件与tb文件都要存放进去,双击报错信息可以看到具体哪行错误

【安路FPGA】FPGA开发日记(一)

 【安路FPGA】FPGA开发日记(一)

 【安路FPGA】FPGA开发日记(一)

 

最终完成仿真 文章来源地址https://www.toymoban.com/news/detail-465925.html

到了这里,关于【安路FPGA】FPGA开发日记(一)的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【安路FPGA】联合modelsim仿真

    第一在modelsim安装目录下新建一个文件夹Anlogic用来存放TD库文件: 打开modelsim仿真软件,feil→change Directory,选择刚才新建文件目录,在新建一个Library库如图所示: TD库文件al与common是必须要添加的两个库,我的芯片是ph1系列所以添加ph1 在去到这个路径会发现多了三个文件夹,在

    2024年02月08日
    浏览(38)
  • FPGA开发环境安装VIVADO

     BASYS3开发板使用的是 Xilinx 厂商的 Artix-7 FPGA 芯片,所以要使用 Xilinx 提供的配套开发软件 Vivado ,我使用的是 Vivado 18.3 。Vivado 18.3 的版本相对来说是较为稳定的,所以推荐给大家这个版本当然 读者也可安装更新的版本,安装步骤都是大同小异的。 第一步: 找到 Vivado 2018.

    2024年02月09日
    浏览(79)
  • 基于安路FPGA的Cortex M0移植【FPGA】

    这其实是今年上半年参加集创赛安路科技杯时候做的内容,当时忙着考研复习大概做了个框架参赛,没想到还混到一个分赛区二等奖加一次公费旅游,现在保研后闲着写点博客记录下当时碰上的问题。 硬件平台是硬木课堂的安路 EG4S20BG256 核心板,资源一般够用,在这次赛题

    2024年01月21日
    浏览(30)
  • 安路FPGA的赋值报错——移位处理,加括号

    author daisy.skye的博客_CSDN博客-嵌入式,Qt,Linux领域博主 在使用移位符号用来当作除以号使用时,发现如下问题 其中  cnt_8K 为偶数和奇数时输出的数据不一样 但是在实际赋值过程如下代码的输出如下,其中当奇数时会一直输出0 只需要修改添加括号后,输出就正常了

    2024年02月12日
    浏览(27)
  • 安路FPGA-TangDynasty软件学习笔记二 仿真

    前面学习了安路FPGA-tangDynasty的大部分操作,现在具体学习TD和modelsim联合仿真。在查阅官方软件操作的手册时,惊叹于手册语言是中文,操作过程描述很详细。软件操作指南如图所示 大型模块在生成bit流之前一般需要进行功能仿真和时序仿真,TD软件不能直接仿真,需要借助

    2024年04月10日
    浏览(31)
  • 【编程日记】搭建PyCharm集成开发环境

    视频介绍 搭建PyCharm集成开发环境 本教程使用的版本号为专业版PyCharm 2022.3.2,如果您是初学者,为了更好的学习本教程,避免不必要的麻烦,请您下载使用与本教程一致的版本号。 官网下载:https://www.jetbrains.com/pycharm/download/other.html 百度网盘:链接:https://pan.baidu.com/s/1bBi

    2024年02月06日
    浏览(82)
  • FPGA开发之Vivado安装及HLS环境配置,并实现流水灯实例

    HLS(High-Level Synthesis)高层综合,就是将 C/C++的功能用 RTL 来实现,将 FPGA 的组件在一个软件环境中来开发,这个模块的功能验证在软件环境中来实现,无缝的将硬件仿真环境集合在一起,使用软件为中心的工具、报告以及优化设计,很容易的在 FPGA 传统的设计工具中生成 IP。

    2024年02月05日
    浏览(37)
  • FPGA开发环境 Vivado

    Vivado是Xilinx系列FPGA开发环境。本文记载收录了vivado常用开发技巧,随机记录、随时更新。。。 任何Xilinx相关问题都可到WELCOME TO XILINX SUPPORT!查询 静态时序分析(Static Timing Analysis, STA):简介及内容导航 VIVADO的综合属性ASYNC_REG 在XDC中作如下约束,表示对名字末尾为 _cdc_to 的寄

    2024年02月11日
    浏览(43)
  • Ubuntu20.04安装Nvidia驱动——4060显卡(黑屏解决方法) 1.首先禁用Nouveau(Ubuntu安装显卡驱动详细步骤)

    如果按照正常方法安装不成功(如无法连接第二屏幕,nvidia-smi无显示),先尝试在安装后不管什么方法进入桌面后在应用程序中找到NVIDIA X Server Settings,在最后一项PRIME Profiles将NVIDIA On-Demand改为Performance Mode,重启后正常,安装深度学习环境也无问题,但是我的神州刷新率还

    2024年02月05日
    浏览(68)
  • Xilinx FPGA开发环境vivado使用流程

    第一步:点击Add Sources按钮 第二步:选择add or create design sources按钮,即添加设计文件 第三步:选择create file 文件新建完成后: 此时可以定义I/O端口,我们选择自己在程序中编写。 第四步:在编辑器中编写verilog程序 XDC文件里主要是完成管脚的约束,时钟的约束,以及组的约

    2024年02月03日
    浏览(49)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包