(UVM验证学习13) apb和ahb的另外一些笔记

这篇具有很好参考价值的文章主要介绍了(UVM验证学习13) apb和ahb的另外一些笔记。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

APB协议

APB slave端的要求比较灵活:

  • 对于总线写入到slave的传输行为,写入的数据data既可以在PSEL为高时,在CLK的上升沿锁存;也可以当PSEL为高时,在PENABLE的上升沿锁存。区别就是前者会早一点,PENABLE要在CLK上升沿后才会被驱动拉高。
  • 对于总线的读slave行为,数据只要在PWRITE=0,然后PSEL和PENABLE都为高时驱动到总线上就可以,不要求在CLK上升沿后立即驱动。

APB特点:

  • APB协议不是流水操作,两个始终周期完成一次读或写操作。
  • APB最大支持32bit位宽
  • APB有两个独立的数据通道:PWDATA和PRDATA,但是两个通道没有自己的握手信号,因此两个信号不会同时使用,即不支持读写并行操作
  • APB3 根据PREADY信号是否为高,可以决定传输是否具有等待周期
  • APB总线没有仲裁器和复杂的译码电路

APB版本改变

  • APB3新增了PREADY和PSLVERR信号,两个端口的来源都是slave端。PREADY信号用于指示APB传输的完成,PSLVERR是从端发送的错误信号,用来指示一次传输的失败,只在ENABLE或是ACCESS状态且PREADY=1时认为有效,即只会在传输的最后一个周期才需要考虑SLVERR信号
  • APB4新增了PPROT(protect signal)和PSTRB(strobe signal)信号。PPROT用来支持APB上的non-secure和secure transaction;PSTRB信号是一个在写总线时为了支持稀疏数据传输的写选通信号。

PPR文章来源地址https://www.toymoban.com/news/detail-473373.html

到了这里,关于(UVM验证学习13) apb和ahb的另外一些笔记的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 诙谐有趣的《UVM实战》笔记——第二章 一个简单的UVM验证平台

    某天白天在地铁上听鬼故事,结果晚上要睡觉时,故事里的情节都历历在目,给我鸡皮疙瘩起的~ 不过我倒是没有吓得睡不着,而是转念一想,为啥我学知识忘得很快,随便听的鬼故事却记得这么清楚咧? 那如果能像听鬼故事那样去学知识,是不是可以记得更牢固呢? 经过一

    2024年02月08日
    浏览(53)
  • stm32中的AHB、APB1、APB2分别属于计算机三大总线数据总线、地址总线和控制总线中的哪一种?

    最近在看计算机体系结构和stm32,对于AHB、APB1、APB2总线找不到在计算机体系结构中的属于,于是深入探究了AHB、APB1、APB2和计算机三大总线数据总线、地址总线和控制总线的关系。 AHB=Advanced High Performance Bus,译作高级高性能总线。AHB 用于高性能模块(如CPU、DMA和DSP等)之间的连

    2024年02月10日
    浏览(29)
  • AHB-to-APB Bridge——05ahbl_tran、ahlb_drv

    ahbl_tran:         声明protected是保证只有当前的class以及子类可以访问这个变量,外部调用没法改变变量值         声明locol 子类也没法改变 ahbl_drv:         定义两个空的pkt_dataphase、pkt_addrphase;         在没有rst情况下,第一次判断dataphase为空,不发送data,然后判断ad

    2024年02月05日
    浏览(27)
  • UVM学习笔记1——断言和断言覆盖率

    2023.3.8 一直都没懂覆盖率和断言,今天开始慢慢学 2023.3.11 打卡学习 2023.4.4 断言不仅可以进行 时序的检测 ,还可以进行 覆盖率的收集 ,因为covergroup只适合对功能点的测试,但是信号与信号之间的时序是否正确可能不能很好的覆盖,使用断言更加方便。 用来与设计功能和时

    2024年02月09日
    浏览(28)
  • APB协议及APB_Slave设计

    原文: 翻译: APB协议是一个 低成本 的接口,经过了优化, 降低了功耗和接口复杂性 。APB接口是 简单 , 同步 , 无流水线 的协议。 每次传输花费至少两个周期完成。 APB接口设计是为了访问外围设备中的可编程控制寄存器。APB外设通常使用APB桥连接到内存系统。例如,A

    2024年02月05日
    浏览(30)
  • (一)UVM验证平台搭建1

     UVM实战中实例源码下载:https://www.hzcourse.com/web/refbook/detail/5651/229 终于配置跑通 UVM实战中的实例了,下面是基于linux+vcs+verdi的环境配置 1、将下载的源码文件夹解压后共享到虚拟机中 2、需要重新配置setup.vcs文件 原始文件: 说明: 这个配置文件是基于csh的,安装的虚拟机不

    2024年02月12日
    浏览(35)
  • AHB协议(1/2)

    以下内容为AMBA5 AHB Protocol Specification协议内容 1.1 关于AHB协议 AMBA AHB是一个支持高性能设计的总线接口。他在组件间,如主端(Master),互联结构(interconnects)和从端(slaves)定义了总线接口。AHB实现了高性能接口的特性,高的时钟频率包括: 猝发传输(Burst transfers) 单个时

    2024年02月16日
    浏览(19)
  • UVM验证方法学_phase机制

    phase机制是uvm最重要的几个机制之一,它使得uvm的运行仿真层次化,使得各种例化先后次序正确,保证了验证环境与DUT的正确交互。 目录 一、phase机制概述 二、phase执行顺序 (1)时间顺序 (2)空间顺序 三、phase机制中uvm树的遍历 四、phase的super 五、phase的跳转 六、phase的调

    2024年02月13日
    浏览(30)
  • AMBA总线协议(3)——AHB(一)

    系列文章合集:AMBA总线协议(0)——目录与传送门 目录 一、前言 二、什么是AHB总线 1、概述 2、一个典型的基于AHB总线的微处理器架构 3、基本的 AHB 传送特性        三、AMBA AHB总线互联         四、小结         在之前的文章中我们初步的了解了一下AMBA总线中AHB,

    2024年02月12日
    浏览(28)
  • AMBA总线协议(4)——AHB(二)

    系列文章合集:AMBA总线协议(0)——目录与传送门 目录 一、前言 二、AHB操作概述 三、AHB 基本传输 1、简单传输         2、带有等待的传输 3、多重传输 四、AHB传输类型 五、小结          在之前的文章中对于AMBA AHB做了一个简单的介绍,AHB 主要用于高性能模块(如

    2024年02月12日
    浏览(31)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包