Vivado报错集锦

这篇具有很好参考价值的文章主要介绍了Vivado报错集锦。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

Vivado报错集锦

Vivado版本2020.1 2021.2


Vivado报错集锦
  1. vivado modelsim联合仿真出现bug

[USF-ModelSim-70] 'compile' step failed with error(s) while executing 'D:/Xilinx/Vivado/base2/vga_top.sim/sim_1/behav/modelsim/compile.bat' script. Please check that the file has the correct 'read/write/execute' permissions and the Tcl console output for any other possible errors or warnings.

[Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.

最初modelsim版本10.5尝试重装Modelsim SE-64 2020.4,还是不行。

右键管理员运行Vivado 还是不行。

进入Vivado——Tools——Compile Simulation Libraries

Vivado报错集锦

然后重新编译,然后点击后台运行,在面板可以看到警告

Detected incompatible modelsim simulator installation version '2020.4'! The supported simulator version for the current Vivado release is '2019.4'.

然后重新下载安装Modelsim SE-64 2019.4,重新编译一遍,再仿真就OK了。


  1. Syntax Error Files 红色波浪线, 文件代码有错误

Vivado报错集锦

解决:

Vivado报错集锦

敲JS习惯了后面预留一个逗号,这里去掉就好了


  1. led仿真报错

[USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or 'D:/Xilinx/Vivado/Docment/LED/vivado_pro/led_1.sim/sim_1/behav/xsim/xvlog.log' file for more information.

去对应路径找到错误

Vivado报错集锦

此处应该是岛内儿.

Vivado报错集锦

  1. 配置LED管脚没有LVCMOS33

Vivado报错集锦

在setting再次确认自己板子的型号,更改之后,重新RTL ANALYSIS即可

Vivado报错集锦

  1. Vivado仿真卡在executing simulate step

之前是2020.1版本

问题是在仿真的时候一直卡在executing simulate step这一步,一直跑,然后等很久之后,vivado就自动关闭了。(但是可以打开modelsim仿真)

Vivado报错集锦

尝试了网上的

  • 关闭增量式仿真

  • 清缓存

  • 重置菜单

  • 卸载腾讯游戏wegame、

  • 重装vivado到2021.2

都不行

解决办法:从win10升级到了win11,就可以完美打开了。

Vivado报错集锦

  1. [Synth 8-91] ambiguous clock in event control 综合报错

[Synth 8-91] ambiguous clock in event control ["D:/Xilinx/Vivado/Docment/LED_by_Uart/project/LED_by_Uart.srcs/sources_1/new/Uart_cmd.v":20]

定位在这个always块,我们通常习惯边缘触发,时钟上升沿和复位下降沿写到一起,但是,在always块里面并没有初始化的一些变量,也就是我们并没有用到Reset_n,所以综合的时候就会报出上面的错误,将触发模式更改为always@(posedge clk)即可。


  1. [Synth 8-1766] cannot open include file VGA_parameter.v 添加头文件出错

在调VGA的分辨率时为了方便,想添加个头文件进来控制参数,但是貌似vivado找不到我这个文件。

Vivado报错集锦

重新创建文件,创建.vh的文件就行了文章来源地址https://www.toymoban.com/news/detail-477377.html

Vivado报错集锦
Vivado报错集锦

到了这里,关于Vivado报错集锦的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 基于petalinux2020.1的环境搭建及从QSPI启动流程

    基于petalinux2020.1的QSPI启动流程 开发工具 Vivado2020.1 Petalinux2020.1 Ubuntu18.04.4(64bit) 虚拟机vmware-16.2.3 开发板:XC7Z020-CLG400 Petalinux坏境搭建 用虚拟机安装完ubuntu18.04.4后,更换阿里云下载源: cd /etc/apt sudo gedit sources.list 输入密码 sources.list文件打开后,把内容更换如下,保存退出;

    2023年04月08日
    浏览(34)
  • IntelliJ IDEA 2021.2启动失败解决方法

    遇到IntelliJ IDEA 2021.2启动失败问题?解决方法:删除-javaagent参数。详细步骤:打开CMD,进入安装目录,编辑.bat文件,删除相关参数,重新启动IDEA。

    2023年04月08日
    浏览(60)
  • Vivado全版本下载分享

    Vivado是由Xilinx公司开发的一款用于FPGA设计和开发的综合设计环境。它包括了高层次综合(HLS)、逻辑设计、约束管理、IP核管理、仿真、综合、实现和调试等功能,支持面向最新FPGA器件的设计。 这里分享一下Vivado的电脑安装配置推荐,以及​各版本Vivado下载链接。​ 1、CP

    2024年02月13日
    浏览(28)
  • Idea2020.1编译maven工程显示jar包不存在的解决方法

    主要是给自己看的,以免以后遇到这个问题找不到方法。 问题描述:idea编译maven工程会显示jar包不存在,用maven编译可以通过,仔细检查jar包是都在的,新创建也编译不通过 找了好多方法都不能解决,最终发现以下两种可以解决 解决方案:第一种:(不推荐) 打开idea的Se

    2024年02月12日
    浏览(46)
  • Vivado(vitis) 新旧版本共存,更改默认打开工程版本

    有时候经常会安装多个版本的xilinx 程序在电脑上 来证明你的电脑硬盘真大,好大! 但是太大也有坏处,就是你发现你每次双击工程文件,都会是默认老版本(或者新版本打开),并不是你要的 姿势 怎么办呢! 修改** 注册表 ** ComputerHKEY_CLASSES_ROOTVivado.Project.1ShellOpenComm

    2024年02月12日
    浏览(35)
  • vivado编译报错记录

    此篇文章是我在使用vivado编写verilog时遇到的编译报错记录,并附带参考解决方案,持续更新~ [DRC NSTD-1] 此报错是因为在约束文件中对IO工作电压没有明确定义,解决方案[DRC NSTD-1] [Synth 8-434] 此报错是因为一个触发器不能同时是边缘触发和电平触发,解决方案[Synth 8-434] [Common

    2023年04月17日
    浏览(32)
  • vivado FPGA烧录报错

    ERROR: [Labtools 27-3165] End of startup status: LOW ERROR: [Common 17-39] \\\'program_hw_devices\\\' failed due to earlier errors. 在烧录bit流文件时,出现烧录不进去,报以上的错误。问题情况不分先后顺序,自行测试 首先排查焊接问题。 降低JTAG下载速率。 重启Vivado/ISE。 下载器不适配,试试相同属性板子

    2024年02月08日
    浏览(42)
  • 【FPGA】解决vivado工程版本兼容问题

    个人笔记。 vivado不同版本之间有可能并不兼容,需要更改一些配置即可。我现在用的是vivado2017.4版本,现在想打开vivado2018.3版本的工程,但会出现警告,主要是版本不兼容导致。 可以按以下步骤来解决。 1、用一个文本编辑器打开我们的工程项目.xpr,修改version和minor 看到

    2024年02月03日
    浏览(27)
  • 20、vivado编译报错合集

    可在XDC引脚约束中添加一条语句; 解决办法:关闭其他没用的工程; 在top层输出中注释掉,保留top层中对接口的例化,在编译时是会报错的,需要在bd文件中就将接口去掉; 出错原因:数据位宽不对,定义数据时定义了一位位宽,实际需要4位位宽 出错原因:XDC引脚约束文件

    2024年02月02日
    浏览(27)
  • 【FPGA】Vivado开发流程(基于2018.3版本)

    基本流程:①设计定义 ②设计输入 ③分析综合 ④功能仿真 ⑤布局布线 ⑥分析性能   双击 Vivado图标即可启动 Vivado 软件。 ①Quick Start 组包含有 Create Project(创建工程) Open Project(打开工程)OpenExample Project(打开实例工程)。 ②Tasks 组包含有 Manage IP(管理 IP) Open Hardw

    2024年02月14日
    浏览(31)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包