D触发器仿真实验

这篇具有很好参考价值的文章主要介绍了D触发器仿真实验。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

关于D触发器的内容见专栏的单片机原理及应用,主要是时钟脉冲出现时候,会改变输出状态。

下面来做一个D触发器的仿真实验。

部件

使用74LS74:带清除和预置端功能的双上升沿D型触发器

74LS74是一款现代集成电路芯片,属于TTL(Transistor-Transistor Logic)系列的D触发器芯片。它内部集成了两个D触发器,能够实现多种数字电路功能。

该芯片的具体参数包括:

  • 工作电压范围:4.75V至5.25V
  • 最大时钟频率:25 MHz
  • 最大静态工作电流:4 mA
  • 高电平输入电压:2V
  • 低电平输入电平:0.8V

在数字电路中,在时钟的控制下,74LS74可以实现数据的存储,传输和计数等控制功能。它常常被用于各种数字电路设计中,比如计数器、分频器、频率调制器、数据采集等领域。

需要注意的是,74LS74在使用过程中需要注意电压稳定性,尤其是在高频率时钟下的稳定性。同时也需要注意静电防护,静电干扰可能会影响芯片的工作稳定性。

 

D触发器仿真实验

 

逻辑探测器LOGICPROBE(BIG)

逻辑探测器(Logic Probe)是一种测试数字电路的工具,它能够检测数字电路中的信号电平并且可以判断它的高低电平状态。逻辑探测器通常用于测试和故障排除数字电路的情况下。

逻辑探测器通常包括一个尖端和一个显示屏。尖端通过电缆与待测试的电路连接在一起,显示屏会显示当前读取到的电平状态。通常情况下,逻辑探测器的显示屏可以显示高电平、低电平以及脉冲等信号。

逻辑探测器广泛应用于数字电路中各种测试和故障排查的场景,比如,检测微处理器和控制器的时钟和数据信号、检查逻辑门电路(比如AND、OR、NOT等)中的输出状态、检测逻辑控制系统的输入信号和输出信号等。它是检测和分析数字电路中的信号非常有用的工具。

 

D触发器仿真实验

逻辑切换器 LOGICTOGGLE

逻辑切换器(Logic Toggle)是数字电路中常见的一种元器件,它通常被用于切换电路中的状态或者控制电路中的信号,使得电路的功能可以在不同状态之间进行切换

逻辑切换器通常被实现为一个D触发器或者JK触发器,它们的输入端口被连接到外部的控制信号,而输出端口控制了被切换的电路状态。当启用逻辑切换器时,触发器输出将改变,从而改变整个电路的状态。

逻辑切换器被广泛应用于数字电路中各种状态切换或者控制场景,比如在计算机中切换内存芯片、切换不同设备的输入输出信号等。它们可以帮助电路在不同的状态之间进行平滑地切换,以达到不同的控制目的。‘

 

D触发器仿真实验

示波器 OSCILLOSCOPE

示波器(Oscilloscope)是一种用于显示电压信号随时间变化的测试仪器,可用于测量电路中的电压、频率、相位等参数。它通常由显示器、控制栏、探头、通道、触发器等组成,能够捕获周期性和非周期性的信号波形,并显示其形状和幅度。

示波器的原理是使用探头将待测试的电路连接到示波器通道上,通过示波器内部的电路将电压信号转换成可视的波形图形式,并在显示器上显示出来。示波器通常有多个通道,可以同时测试多个信号源,并进行比较分析。

示波器被广泛应用于电子、计算机、通信、医疗等领域,它可以帮助工程师或者技术人员快速地检测和诊断问题,找到电路中的故障或者优化电路设计。基本上所有需要测量电信号的场合都需要使用示波器。

 

D触发器仿真实验

数字时钟 DCLOCK

它是一种显示时间数字的设备或应用程序。数字时钟通常由数码管、LCD 显示屏或 OLED 显示屏等组成,它可以显示当前时间(小时、分钟、秒钟等)或日期。数字时钟的显示方式可以是12小时制或24小时制。

数字时钟广泛应用于各种场景,比如装饰墙壁钟、手表、移动设备、计算机屏幕和机场车站等公共场所。数字时钟能够准确地显示当前时间,因此在生产和生活中非常重要。除了基本的显示功能外,数字时钟还可以具备其他功能,比如闹钟、倒计时、定时器等,为人们的生活提供更多的便利。

 
D触发器仿真实验

整体电路设计

D触发器仿真实验

仿真运行

当时钟信号 DCLOCK 到达 74LS74 的 CLK 引脚上时,锁存器会根据 D 的状态将 D 的值锁存到 Q 中。 而当逻辑探针 LOGICPROBE 连接到 74LS74 的 Q 引脚上时,当 Q 发生变化时, LOGICPROBE 的输出将对应地显示出 Q 引脚的电平状态。

因此,当按下逻辑开关和时钟信号 DCLOCK 在适当的条件下到达时,锁存器将保存逻辑开关的输出状态,并且 LOGICPROBE 的输出将显示存储在锁存器中的电平状态。

在示波器上,可以看到时钟信号 DCLOCK 的周期性方波信号,以及存储在锁存器中的电平状态 Q 的信号的变化。如果逻辑开关的输出状态一直保持不变,那么 Q 的电平状态也会一直保持不变,因此在示波器上只能看到平稳的直线信号。但如果逻辑开关的输出状态发生变化,则可以在示波器上看到 Q 引脚对应的电平状态发生相应的变化。

D触发器仿真实验

项目文件和运行视频

文件
视频见:

D触发器波形变化文章来源地址https://www.toymoban.com/news/detail-478559.html

到了这里,关于D触发器仿真实验的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 数据库原理及应用实验报告-实验10-触发器

     实验题目   实验 10触发器    10.1 实验目的 通过实验使学生加深对数据完整性的理解,学会理解、创建和使用触发器。 10.2 实验内容   (用实验9的Teacher表) (1) 为 Teacher 表建立触发器T1,当插入或使更新表中的数据时,保证所操作的纪录的 Tage 值大于0。 (2) 为 Teacher 表建立

    2024年02月05日
    浏览(36)
  • 实验四:集成触发器功能测试及转换

    一.实验目的与要求:            1.悉并掌握RS、D、JK、T触发器的构成、工作原理和功能测试方法;      2.掌握不同逻辑功能触发器的相互转换;      3. 掌握三态触发器和锁存器的功能及使用方法;      4. 学会触发器、三态触发器、锁存器的应用。 二.预习要求: (

    2024年02月06日
    浏览(42)
  • Mysql数据库实验报告--实验五 存储过程和触发器

    在这个系列会更新一些最近老师要求写的实验报告~ 大家尽量对着我的文章做一个参考,不要盲目的cv,毕竟这个对于我们以后的工作学习还是十分重要的。 从这个实验开始,就不在cmd命令行里面进行mysql数据库的操作,因为代码长度和代码的复杂性,需使用 MySQL Workbench: 双

    2024年02月09日
    浏览(41)
  • 【100个 Unity实用技能】☀️ | 关于触发器互相检测的必要前提条件配置

    老规矩,先介绍一下 Unity 的科普小知识: Unity 是 实时3D互动内容创作和运营平台 。 包括 游戏开发 、 美术 、 建筑 、 汽车设计 、 影视 在内的所有创作者,借助 Unity 将创意变成现实。 Unity 平台提供一整套完善的软件解决方案,可用于创作、运营和变现任何实时互动的2D和

    2023年04月09日
    浏览(57)
  • 数据库系统原理及MySQL应用教程实验八触发器创建与管理

    1.理解触发器的概念与类型。 2.理解触发器的功能及工作原理。 3.掌握创建、更改、删除触发器的方法。 4.掌握利用触发器维护数据完整性的方法。 1.验证性实验:某同学定义产品信息product表,并对其触发器创建与管理 2.设计性试验:某同学定义产品信息product表,并对其

    2024年02月04日
    浏览(35)
  • 数字逻辑实验之利用D触发器,设计并实现三位扭环计数器

    【 实验要求 】: 采用Moore(摩尔型)电路,利用D触发器,设计并实现三位扭环计数器并用Verilog编程语言写出其代码。 【 实验目的 】  掌握时序逻辑电路的设计方法; 熟悉Vivado2014集成开发环境和; 实现如下图所示的三位扭环计数器。   【 实验环境 】 FPGA虚拟仿真平台。

    2024年02月03日
    浏览(49)
  • 【计算机组成与体系结构Ⅰ】实验7 IP核的使用、D触发器

    一、实验目的 1:学会设计用IP核和原理图的方式设计电路,完成涉及1位数据的2选1多路选择器。 2:设计带异步置零和写使能端的D触发器。 二、实验环境 软件:Vivado 2015.4 操作系统:Windows 10 三、实验内容 2.2.1 多路选择器的设计 1:添加IP核文件   2:添加bd文件,在Block De

    2024年02月13日
    浏览(28)
  • 北邮22级信通院数电:Verilog-FPGA(9)第九周实验(2)实现下降沿触发的JK触发器(带异步复位和置位功能)

    北邮22信通一枚~ 跟随课程进度更新北邮信通院数字系统设计的笔记、代码和文章 持续关注作者 迎接数电实验学习~ 获取更多文章,请访问专栏: 北邮22级信通院数电实验_青山如墨雨如画的博客-CSDN博客   目录 ​编辑 一.代码部分 1.1 JK.v 1.2 JK_tb.v 二.仿真结果

    2024年02月05日
    浏览(38)
  • 脉冲触发的触发器(主从触发器)

    脉冲触发的动作特点: (1)触发器的翻转分两步动作。 第一步:当CLK以高电平为有效信号时,在CLK= 1期间主触发器接收输入端(S、R或J、K)的信号,被置成相应的状态,而从触发器不动。 第二步: CLK下降沿到来时从触发器按照主触发器的状态翻转,所以Q、Q’端状态的改变发生在

    2024年02月04日
    浏览(38)
  • 【FGPA】Verilog:JK 触发器 | D 触发器 | T 触发器 | D 触发器的实现

    0x00 JK 触发器 JK 触发器是 RS 触发器和 T 触发器的组合,有两个输入端 J 和 K,如果两个输入端都等于 1,则将当前值反转。 行为表

    2024年02月05日
    浏览(37)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包