用74ls90及少量器件制作电子时钟

这篇具有很好参考价值的文章主要介绍了用74ls90及少量器件制作电子时钟。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

用74ls90制作电子时钟

1.认识一下74ls90的功能

2022-12-22
前言:用74ls90及少量器件制作电子时钟是一个电子技术基础的作业,设计的过程中顺便也就写了这篇文章,分享一下在设计中的一些思路。

内容要求:电子时钟,1.实现时分秒,2.可进行电子时钟清零,3.具有设置时、分、秒功能。
设计一个元件时,首先最重要的是了解芯片的功能,如下图为74ls90芯片和功能表:
用74ls90及少量器件制作电子时钟
74ls90功能表:
用74ls90及少量器件制作电子时钟

我简单解释一下功能表:1.当R01,R02都为1时,实现清零,此时时钟CKA,CKB无脉冲。
2.R01,R02中的某一个为零,R91,R92都为1时,此时实现置9功能,CKA,CKB无脉冲。
3.R01,R02中的某一个为零,R91,R92中的某一个为零,(1)CKB接高电压,此时Q0输出二进制数;(2)CKA接高压电,此时Q3Q2Q1输出5进制数;(3)CKB接QA,此时Q3Q2Q1Q0输出8421BCD码。

电子时钟的设计只需要10进制计数,所以直接将R91,R92置0,置9功能对于电子时钟来说没什么用处。

2.设计思路

大体的设计思路:1.秒,分就是简单的60进制,时是24进制,并且当时的十位为2时,个位不能大于4。当十位计数达到2且个位计数达到3时(即23时),将通过门电路反馈同时清零个位和十位计数器,以此达到24进制计数。
2.清零,设计一个双刀双掷开关,R01,R02正常情况下计数,掷另一侧接地,这样就能实现清零。
3.使用一个手动产生的电压(器件名:LOGICSTATE),用或门连接时钟,这样就可以实现简单的置数功能。

接下来开始制作:
首先实现秒
用74ls90及少量器件制作电子时钟
10进制:首先R91,R92接地,CKB接QA实现10进制计数,R01, R02某一个接地, 保证正常计数,因为正好是10进制,所以只要都不是1就行,都接高压电实现清零,CKA接一个时钟,不断产生高低电压
6进制:R91,R92都接地,CKB接Q0实现10进制计数,由6进制看出,当Q2Q1等于1时,实现清零,即R01=R02=Q2Q1因为10进制中1010清零时无脉冲,所以CKA不能接Q3Q1,而应接上一个状态,即CKA=Q3Q0。

完整接图如下所示:
用74ls90及少量器件制作电子时钟
将开关掷下,就能进行清零。动态图不好搞,就不演示动态图了,切记手动输出脉冲时,给高电压后要将它调成低电压(也就是0),不然它一直是高电压就不会计数了。
分的实现和秒相同,就不解释了,完整接图如下:
用74ls90及少量器件制作电子时钟
最后是时:时是24进制,十位搞个3进制,个位搞个10进制,然后加一个条件,当十位为2,个位为3时,在接受一个脉冲就清零。
条件添加,时的十分位进位有两种情况,一种是3进制时,另一种就是当十位为2,个位为4时;所以将十位为2(0010)Q1,个位为4(0100)Q2用与门和R01,R02相连,这样能保证当十位为2,个位为4时个位清零;当十位为2,个位为4时,十位也应该清零,所以可以用或门将3进制与个位清零的条件或在一起。
如下图所示:
用74ls90及少量器件制作电子时钟
全部视图:用74ls90及少量器件制作电子时钟
设计方案有很多,这里只是我的拙解,有错误的地方感谢指出。

2022-12-29
不足:调时间时,当分的十位为5,下一步将会进行清零并产生进位,由5变0的过程中,会产生一个由高变低的电压,所以当分的十位为5,此时会向时的个位传高电压,然后手动调时的个位,会发现时的个位不走,因为小时的个位接受的是高电压。
用74ls90及少量器件制作电子时钟
所以调时针时,以防上述情况发生,可以先调时,再调分,最后调秒。
或者如下改进:
改进方法:当分或秒的十位为5时,或门会妨碍手动产生的高低电压,那么将或门去掉,然后用开关控制手动脉冲。如下图:
用74ls90及少量器件制作电子时钟文章来源地址https://www.toymoban.com/news/detail-481564.html

到了这里,关于用74ls90及少量器件制作电子时钟的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • JavaScript 制作实时电子时钟 ,点赞加关注经典案例持续更新~

    效果动图,此动图时间仅为当时录制的时间,实际运行时间为实时时间 最主要是要通过 new Date()时间对象,获取各类实时时间,下面是一些常用的 Date对象的一些常用属性和方法: 获取: getFullYear() : 返回四位数的年份。 getMonth() : 返回月份,范围是0-11。 getDate() : 返回月份中

    2024年02月03日
    浏览(30)
  • 74LS系列芯片简记——00-09

            由于兴趣与实际需求特学习对应的数字芯片,以此笔记简略记录用途等,如有不足欢迎批评指正。欢迎大家踊跃交流。前期门芯片讲述较为简单。 74LS00( 四组2输入与非门) 逻辑为: 可用于进行与非判断,基本RS触发器,与非门方波发生器等。其中与非门1、2与外界

    2024年02月08日
    浏览(29)
  • 基于RASC的keil电子时钟制作(瑞萨RA)(6)----定时器驱动数码管

    要想让每个数码管显示不同的数字,但是数码管必须依次地被持续驱动,数码管之间的刷新速度应该足够快,这样就看不出来数码管之间在闪烁。刷新频率可以设置为2ms刷新一次,这样人眼就看不出闪烁了。 首先需要准备一个开发板,这里我准备的是芯片型号R7FA2E1A72DFL的开

    2024年02月15日
    浏览(32)
  • 2021-06-02 Multisim 14.0 74LS160异步21进制74LS161组成61进制160与161的区别154显示193加减

    74ls160是十进制计数器,也就是说它只能记十个数从0000-1001(0-9)到9之后再来时钟就回到0,首先是clk,这是时钟。之后是rco,这是输出,MR是复位低电频有效(图上接线前面花圈的都是低电平有效)load是置数信号,当他为低电平时,在始终作用下读入D0到D3。为了使161正常工作

    2024年02月13日
    浏览(35)
  • 使用74LS161设计任意进制计数器

    可预置的四位二进制同步计数器74LS161具有并行预置数据、清零、置数、计数和保持功能,并且有进位输出端,可以串接计数器使用。引脚排列如图7-1所示,功能表见7-2所示。 图7-1 74LS161引脚排列 从下表7-2中可知,该计数器具有信号清零端 ,信号使能端CEP、CET,信号置数端

    2024年02月02日
    浏览(48)
  • 74LS595 74HC595使用方法以及驱动数码管显示(附:使用代码)

    由于74HC595与74LS595功能是一样的没有区别。LS和HC代表不同工作速度,HC代表CMOS芯片电路中工作速度最高的产品,LS表示普及、通用型产品。其驱动方法也是一样的。 74LS595是串行输入并行输出的器件,可以串联使用,达到引脚拓展的目的。主要引用在数码管的驱动,点阵的驱动

    2024年02月06日
    浏览(132)
  • 设计分享|74LS138译码器实现流水灯

    具体实现功能: 74LS138译码器实现流水灯的控制。 设计介绍 51单片机简介 51单片是一种低功耗、高性能CMOS-8位微控制器,具有8K可编程Flash存储器,使得其为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。 51系列单片机具有以下标准功能: 8k字节Flash,512字节RAM,

    2024年02月06日
    浏览(37)
  • 输入端口少如何扩展?74hc148或74ls148级联在arduino中实现16转4的应用

    上一篇博文我们使用矩阵键盘时面临的一个问题就是占用的端口多,以前我们扩展输出端口使用了74hc595,那么这里我们面临的是输入端口少需要扩展的问题,那么可以使用什么芯片来完成了?有没有直接可使用的芯片呢? 文章原出处: https://blog.csdn.net/haigear/article/details/13

    2024年02月04日
    浏览(64)
  • 3线8线译码器74LS138设计VHDL代码74138

    名称:3线8线译码器74LS138设计 软件:QuartusII 语言:VHDL 代码功能: 3线8线译码器74LS138的设计 使用VHDL代码 74138 演示视频:74LS1383线8线译码器74LS138设计 FPGA代码Verilog/VHDL代码资源下载网:www.hdlcode.com 代码下载: 74LS1383线8线译码器74LS138设计(代码在文末付费下载)软件:Quar

    2024年02月03日
    浏览(37)
  • 北邮数电实验作业参考 #利用74LS138实现全加器

    各位好我是Toporanger  本人只会写代码 不会教人 毕竟自己的代码也是改来改去最终成功的 如果有不够精简的地方还请见谅  我会先贴下代码 然后贴上管脚的绑定图  无论是拿来救急还是作为参考都希望帮助到你们 题目: 1.  用 Verilog HDL 设计一个 3-8 译码器 74LS138 ,并用该译码

    2024年04月22日
    浏览(29)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包