4.2 同步计数器74x163 的实现

这篇具有很好参考价值的文章主要介绍了4.2 同步计数器74x163 的实现。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

1 74x163介绍

74LS163计数器有5个控制端、4个数据输入端和5个输出端,其逻辑功能和对应的逻辑符号如下图1和图2所示:(该例子在教材《Xilinx FPGA原理与实践—基于Vivado和Verilog HDL——卢有亮》P95页)

(CLR非)是异步清零端——低有效清零端
(LD非)是同步置数控制端——数据装载输入
ENT和ENP是计数控制端——使能
CLK用作时钟信号输入端
ABCD用作4位预置数据输入——数据输入,是装载到4个触发器上
QAQBQCQD表示四位计数器的状态——数据输出
RCO为计数器进位输出端
4.2 同步计数器74x163 的实现
同步置数:当clk上升沿时,clr_l清零无效(clr_l=1),ld_l是0,是装载的功能,如输入ABCD=1010,即输出QAQBQCQD也是1010;
保持:当clr_l清零无效(clr_l=1),ld_l是1也无效,ENP是0,四个D触发器的值保存不变;
保持,RCO=0:当clr_l清零无效(clr_l=1),ld_l是1也无效,ENT是0;
计数:当clk上升沿时,当clr_l清零无效(clr_l=1),ld_l是1也无效,ENP是1,ENT是1,计数功能
4.2 同步计数器74x163 的实现

2 74x163自由工作模式

自由模式时,QDQCQBQA输出在每个时钟边沿变化, 从0000开始计数,0000-0001-0010…1111-0000…完成从0到15的循环计数,当计数值为15时,RCO有效,输出1。
4.2 同步计数器74x163 的实现

3 设计文件

module p74x163(clk,clr_l,ld_l,enp,ent,d,q,rco);
    input clk,clr_l,ld_l,enp,ent;
    input[3:0] d;
    output [3:0] q;
    output rco;
    reg [3:0] q=0;
    reg rco=0;
    always @ (posedge clk) begin
        if (clr_l==0) q<=0;
        else if (ld_l==0) q<=d;
        else if ((enp==1) && (ent==1)) q<=q+1;
        else q<=q;
    end
    always @ (q or ent) begin
        if ((ent==1) && (q==15)) rco=1;
        else rco=0;
    end
endmodule

4 仿真文件

`timescale 1ns / 1ps
module sim_p74x163;
    reg clk=0;
    reg clr_l=1;
    reg ld_l=1;
    reg enp=1;
    reg ent=1;
    reg[3:0] d=0;//输入用reg
    wire[3:0] q; //输出用wire
    wire rco;

p74x163 uut(clk,clr_l,ld_l,enp,ent,d,q,rco);

always # 10 clk=~clk; 
endmodule

5 仿真结果

4.2 同步计数器74x163 的实现文章来源地址https://www.toymoban.com/news/detail-486335.html

到了这里,关于4.2 同步计数器74x163 的实现的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 同步计数器设计与建模

    ⭐本专栏针对FPGA进行入门学习,从数电中常见的逻辑代数讲起,结合Verilog HDL语言学习与仿真,主要对组合逻辑电路与时序逻辑电路进行分析与设计,对状态机FSM进行剖析与建模。 🔥文章和代码已归档至【Github仓库:hardware-tutorial】,需要的朋友们自取。或者公众号【AISha

    2024年02月01日
    浏览(34)
  • Canopen学习笔记——sync同步报文增加数据域(同步计数器)

    在OD表中的配置如下: 如果0x1006索引的同步报文循环周期时间设置为0则禁用同步报文,这里要注意的就是,上面第一张图也提到了,时间单位是us。第二张图,我的0x1006就设置为0xF4240,也就是1s发送一次同步报文。 关于同步桢(同步计数器)的问题可以参考这篇文章: 我这里

    2024年01月20日
    浏览(28)
  • ModuleAim Verilog同步置数、同步清零的计数器实验

    ModuleSim Verilog同步置数、同步清零的计数器实验 #全文复制可运行,经验证无错 你好! 这是你第一次使用 ModuleAim同步置数、同步清零的计数器实验 如果这是你第一次项目,推荐一个哔站10分钟的视频,手把手带你从建立到完成,看完后再复制我代码即可运行。 【【教学】m

    2024年02月11日
    浏览(41)
  • 【verilog】含异步清零和同步使能的加/减法计数器

    实验目的 掌握二进制计数器的工作原理。 能使用verilog设计计数器。 3、进一步熟悉QUARTUSII软件的使用方法和verilog输入方式 实验原理与内容 实验原理 二进制计数器中应用最多、功能最全的计数器之一,是含异步清零和同步使能的具有并行载入功能的加/减法计数器。其具体工

    2024年02月02日
    浏览(43)
  • 【FPGA】Verilog:升降计数器 | 波纹计数器 | 约翰逊计数器 | 实现 4-bit 升降计数器的 UP/DOWN

    目录 Ⅰ. 理论部分 0x00 升降计数器(UP DOWN Counter) 0x01 波纹计数器(Ripple Counter)

    2024年02月05日
    浏览(41)
  • go限流、计数器固定窗口算法/计数器滑动窗口算法

    问题1:后端接口只能支撑每10秒1w个请求,要怎么来保护它呢? 问题2:发短信的接口,不超过100次/时,1000次/24小时,要怎么实现? 所谓固定窗口,就是只设置了一个时间段,给这个时间段加上一个计数器。 常见的就是统计每秒钟的请求量。 这里就是一个QPS计数器。 在这一

    2024年04月26日
    浏览(30)
  • FPGA拾忆_(3):调用IP 计数器&BCD计数器

    调用IP计数器: 每来一个cin(进位输入)信号,计数器输出值加一,当计数值为9且cin为1时,输出一个时钟长度的cout(进位输出)信号。 首先采用调用quartus种IP的方式,具体步骤: Tools----IP Catalog: 然后会调出IP目录窗口: 通过搜索counter来添加计数器模块,需要设置的内容

    2024年02月03日
    浏览(45)
  • verilog手撕代码5——计数器(置位、加减、环形、扭环形、格雷码计数器实现)

    2023.5.12 编写一个十六进制计数器模块,计数器输出信号递增每次到达0,给出指示信号 zero ,当置位信号 set 有效时,将当前输出置为输入的数值 set_num 。 注意 :这里zero=1和num=0是同一拍输出的,按道理如果根据num=0,然后去输出zero=1应该延迟一拍。所以这里考虑将number延迟一

    2024年02月07日
    浏览(44)
  • LR中监控ORACLE数据库常用计数器(如何自定义Oracle计数器)

    目录 一、添加自定义计数器的方法 1、要创建自定义查询,请执行以下操作: 2、配置文件示例对象 二、常用自定义计数器列表 三、LR中监控ORACLE数据库常用计数器遇到问题及处理 1. 在安装路径的Mercury LoadRunnerdatmonitors找到vmon.cfg文件,打开。 2. 在vmon.cfg文件的第三行中,

    2024年02月15日
    浏览(40)
  • 【FPGA】Verilog:时序电路设计 | 二进制计数器 | 计数器 | 分频器 | 时序约束

    前言: 本章内容主要是演示Vivado下利用Verilog语言进行电路设计、仿真、综合和下载 示例:计数器与分频器   ​​ 功能特性: 采用 Xilinx Artix-7 XC7A35T芯片  配置方式:USB-JTAG/SPI Flash 高达100MHz 的内部时钟速度  存储器:2Mbit SRAM   N25Q064A SPI Flash(样图旧款为N25Q032A) 通用

    2024年02月02日
    浏览(49)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包