任意进制加法计数器电路设计

这篇具有很好参考价值的文章主要介绍了任意进制加法计数器电路设计。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

目录

一、题目

二、时钟发生电路

1、施密特触发电路

2、单稳态电路

3、多谐振荡电路

三、 N进制计数器

1、M的情形<>

2、M>N的情形

2、1  193实现

2、2 192实现

四、设计的小bug

1、两个bug

2、bug的原因及解决

五、仿真源文件的获取


一、题目

任意进制加法计数器电路设计

图一 题目要求

        如题,我们要设计三部分电路,一个时钟发生电路,一个N进制计数器,一个数码管显示电路,这里说明一下,第一问说要连接7进制电路,后面要求中又说要8进制计数器30分,我们这里就设计8进制计数器,原理都一样。

二、时钟发生电路

        题目要求要用555设计一个时钟发生电路,555芯片能产生施密特触发电路、单稳态电路、多谐振电路。

1、施密特触发电路

我们可以通过施密特触发电路的传输特性来了解它的作用。

任意进制加法计数器电路设计

图二 施密特触发电路的传输特性

        当我们不接555芯片的控制端的时候,他的传输特性就是图二,显然施密特触发电路不能满足我们时钟发生电路的需求。

任意进制加法计数器电路设计

图三 施密特触发电路的典型电路 

        施密特触发电路需要我们输入一个信号,可以用来做波形的整形,可以将正弦波整成矩形波,而不能做时钟发生信号。

2、单稳态电路

        我们可以通过单稳态电路进行分析,单稳态电路的作用。

        文章来源地址https://www.toymoban.com/news/detail-486336.html

任意进制加法计数器电路设计

图四 单稳态电路 

        由图四可知,单稳态电路也是需要输入的(触发源),当没有触发源或者刚通电的时候,为0,当触发脉冲的下降沿到来时电容机会进行充放电,先变为1在变为0,其中输出脉冲宽度为1.1RC。显然单稳态电路是不能满足我们的时钟发生电路的,单稳态电路可以实现定时、延时、整形。

3、多谐振荡电路

        三个电路剩下一个,让我们看看能不能用多谐振荡电路实现时钟发生电路。

任意进制加法计数器电路设计

图五 多谐振荡电路

        我们可以清楚的发现,多谐振荡电路不需要任何输入,这很符合它的名字:多谐振荡电路,它的输入其实是电容充放电提供的,输出的波形正好是个矩形波。

任意进制加法计数器电路设计

图六 多谐振振荡电路输入与输出

        这里就不详细介绍原理性的知识了,就写几个重要公式了解振荡周期与占空比就可以了。

任意进制加法计数器电路设计

        式(1)为电路的震荡周期

任意进制加法计数器电路设计

        式(2)为电路的输出脉冲占空比。

        所以我们连接出时钟发生电路。

任意进制加法计数器电路设计

图七 时钟发生电路 

三、 N进制计数器

        我们分别使用十进制计数器74HC192和十六进制计数器74HC193实现N进制计数器。

1、M<N的情形

        以192为例,192为十进制计数器输出0-9十个数,7进制计数器输出0-7八进制计数器,那么我们就要跳过8(1000)、9两个状态,所以要将PL‘引脚接Q3,那么这样的话,计数器加到8的时候就会产生进位,跳过了8、9两个状态,当然我们没用到MR端,所以将MR端接地,值得一提的是我们也可以接MR端实现8进制计数器,只需要将与门接到MR端,将VCC接到PL’端其余不变即可。

任意进制加法计数器电路设计

图八 74HC192的引脚功能图 

        再接上我们之前连接出来的时钟发生电路,那么8进制电路就能连接出来了。

任意进制加法计数器电路设计

图九 8进制计数器电路 

        我们会了8进制电路的连接,那么是不是就会了2进制3进制等M<N的电路的连接了,就将PL‘端的与门修改一下就能实现你所要的进制的电路。

2、M>N的情形

        我们就以题目中的24进制计数器为例来连接电路。

2、1  193实现

        193为16进制计数器,显然一片193是不可能实现24进制计数器的,所以我们至少需要两片193,大体思路为:1片193从0-10开始计数,只要一到10,那么就产生进位,同时对第一片193芯片的数据清零,并且给第二片193一个加数信号,第二片193加1,这样就实现了多进制的加法计数器了,怎么实现24进制的计数器呢?很简单,让第二片193到了24就清零,那么计数器就会回到00。

任意进制加法计数器电路设计

图十 24进制加法计数器(193实现) 

2、2 192实现

        192为十进制计数器,只能输出0-9,无法输出10,所以我们不能用上述方法实现,我们知道,当192芯片从0加到9后再加一就会产生进位也就是TC’U引脚会置零,利用这个特性我们对两个芯片进行扩展,将第一片的TC‘U引脚连接到第二片的CPU脚上当作时钟信号,于是当第一片192产生进位的时候第二片192加一,加上清零端就实现了24进制加法计数电路,即将两片192的清零脚接在一起,当计数器达到24的时候全部清零,计数器回到00。

任意进制加法计数器电路设计

图十一 24进制加法计数器(192实现)

四、设计的小bug

        说是bug其实也不算bug。

1、两个bug

        1、我设计的24进制加法计数器(192实现)一上电的时候高位为9。

         2、24进制加法计数器(193实现)的时钟发生电路有时候周期会出现占空比不一样的现象。

2、bug的原因及解决

        我问了问大佬bug的原因和解决方法,得到了一个比较好的回复,由于我没有问大佬能否公开头像,所以这里就不公开了。

        

任意进制加法计数器电路设计

 图十二 关于bug的回复

五、仿真源文件的获取

        链接放在这,点赞才能下载。

        链接:https://pan.baidu.com/s/1QfAtRH6NRhG9Y_t18lHsJg?pwd=cfhc 
        提取码:cfhc

        

到了这里,关于任意进制加法计数器电路设计的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【30-60s计数器电路设计】数电课设

    这是徐州工程学院2021年的电子工艺实习课程作业之一,我是20级计嵌专业的学生。电路方面的东西并不是我们的主修课程,所以在完成这项作业的过程中遇到了许多困难( 特别是在查不到样板的情况下 )。网上也找到过一位仁兄的案例,不过并没有详细的电路运行原理和操

    2024年02月08日
    浏览(37)
  • 任意进制计数器12进制计数数码管显示verilog代码

    名称:任意进制计数器12进制计数数码管显示verilog代码 软件:VIVADO 语言:Verilog 代码功能: 设计一个12进制计数器,计数值00-11需要在数码管上显示, 时钟脉冲通过按键开关设计。 电路的输入信号en进行清零。 本代码可以修改为任意进制计数器,即修改计数控制模块的红框

    2024年02月04日
    浏览(43)
  • 【FPGA】Verilog:时序电路设计 | 自循环移位寄存器 | 环形计数 | 扭环计数 | 约翰逊计数器

    前言: 本章内容主要是演示Vivado下利用Verilog语言进行电路设计、仿真、综合和下载 示例:计数器   ​​ 功能特性: 采用 Xilinx Artix-7 XC7A35T芯片  配置方式:USB-JTAG/SPI Flash 高达100MHz 的内部时钟速度  存储器:2Mbit SRAM   N25Q064A SPI Flash(样图旧款为N25Q032A) 通用IO:Switch :

    2024年02月10日
    浏览(59)
  • 使用74LS161设计任意进制计数器

    可预置的四位二进制同步计数器74LS161具有并行预置数据、清零、置数、计数和保持功能,并且有进位输出端,可以串接计数器使用。引脚排列如图7-1所示,功能表见7-2所示。 图7-1 74LS161引脚排列 从下表7-2中可知,该计数器具有信号清零端 ,信号使能端CEP、CET,信号置数端

    2024年02月02日
    浏览(48)
  • 用Verilog设计一个8位二进制加法计数器,带异步复位端口,进行综合和仿真。

    点此处编译 这里还需将rst置1才有效 可以在clk=0;后加rst=1; 或者在clk=0;后加rst=0; 并且在#DELY clk=~clk;后加#(DELY*20) rst=~rst; 仿真即可

    2024年02月04日
    浏览(34)
  • 【FPGA】Verilog:计数器 | 异步计数器 | 同步计数器 | 2位二进制计数器的实现 | 4位十进制计数器的实现

    目录 Ⅰ. 实践说明 0x00 计数器(Counter) 0x01 异步计数器(Asynchronous Counter)

    2024年02月05日
    浏览(46)
  • 【时序逻辑电路】——计数器

    🦄🦄欢迎大家来学习数字电路——时序逻辑电路。 🦄🦄在这里我们会讲解二进制计数器、十进制计数器和集成计数器74LS161,希望通过我们的学习会让你更明白数字电路中的奥秘。 目录 🔑一、计数器  🏆1.二进制计数器  (1)异步二进制加法计数器 (2)同步二进制加法

    2024年02月02日
    浏览(45)
  • 数字电路11-异步计数器

    1、原理 同步:在CLK到来时判断以往的状态是否需要翻转 异步:判断低位是否有进位来判断是否需要翻转 2、电路-下降沿触发 使用3个 下降沿 触发的T触发器构成异步计数器 CLK 0 的下降沿导致FF 0 翻转,若初始状态为000时,需要翻转两次,Q 0 才会产生下降沿,从而CLK i 的翻转

    2024年02月06日
    浏览(31)
  • 用verilog写一个60进制计数器

    你好!下面是一个使用 Verilog 写的 60 进制计数器的示例代码。这个计数器有四个输入: clock :时钟信号。 reset :当设为高电平时,计数器会重置为 0。 enable :当设为高电平时,计数器才会执行计数操作。 count_up :当设为高电平时,计数器会执行加 1 操作;当设为低电平时

    2024年02月11日
    浏览(35)
  • 实现十进制计数器EDA|FPGA

    实验内容 有限状态机设计:实现十进制计数器 实验目的 有限状态机设计:实现十进制计数器 软件流程(硬件连接) ①新建工程 ②创建半加器原理图 ③将设计项目设置成可调用的元件 ④尝试运行代码 ⑤新建文件输出代码的波形  四、代码  五、实验结果及分析  

    2024年02月03日
    浏览(38)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包