QUARTUS联合modelsim仿真(quartus13.0)

这篇具有很好参考价值的文章主要介绍了QUARTUS联合modelsim仿真(quartus13.0)。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

  1. 设置仿真软件(Modelsim/ Modelsim-Altera)路径

(1)点击tools ->Options

QUARTUS联合modelsim仿真(quartus13.0)

(2)选择EDA Tool Options

QUARTUS联合modelsim仿真(quartus13.0)

PS:看自己情况设置,使用独立Modelsim仿真时设置ModelSim处的值为ModelSim安装路径下的win64(或者32)路径,使用独立Modelsim-Altera仿真时,设置Modelsim-Altera处的值为Modelsim-Altera路径(一般在quartus安装路径->modelsim_ase->win32aloem).以上设置一个即可。

2.设置仿真软件测试激励

(1)点击Assignments ->Setting

QUARTUS联合modelsim仿真(quartus13.0)

(2)选择EDA Tool Settings->Simulation

QUARTUS联合modelsim仿真(quartus13.0)

  1. 选择Tool name选择相应的仿真软件
  2. Format for output netlist 设置为Verilog
  3. 点击Test Benches…

QUARTUS联合modelsim仿真(quartus13.0)

  1. NEW…

QUARTUS联合modelsim仿真(quartus13.0)

  1. Test bench name处填写仿真模块名
  2. 点击File name右边三个点,选中tb激励模块
  3. 点击Add
  4. 一路点击OK
  5. 如果遇到仿真iic或者是需要有其他模块的数据时(例如串口仿真)。就需要添加多个文件,就是仿真的数据也是其他模块给的,这时上面的操作2中,要选择多个文件,不然后面modelsim仿真会报错
  6. QUARTUS联合modelsim仿真(quartus13.0)

 QUARTUS联合modelsim仿真(quartus13.0)

 QUARTUS联合modelsim仿真(quartus13.0)

PS:如果要对不同的模块模块进行仿真,或者对当前程序设置两套仿真环境(举个例子,当你需要仿真一个电子琴的自动播放(按时间读取音调)和手动弹奏(按键弹奏),你就可以设置两套仿真环境),我们就可以在建立一套仿真,在上面界面点击NEW新建即可,新建完后,我们可以点击下拉菜单选择不同的仿真设置

QUARTUS联合modelsim仿真(quartus13.0)

 

3.运行仿真

QUARTUS联合modelsim仿真(quartus13.0)

仿真过程中如果需要添加波形和信号,则在下面视图中右击例化模块,点击add wave

QUARTUS联合modelsim仿真(quartus13.0)

注意事项:

1:常出现的问题:

QUARTUS联合modelsim仿真(quartus13.0)

该问题是由于用心新的软件打开工程时没有分析综合,一般来说分析综合一下就可以解决,点击下面位置即可

QUARTUS联合modelsim仿真(quartus13.0)

联合仿真时软件打开modelsim时会自动开启仿真,可以点击stop停止仿真。

如果遇到下面情况

QUARTUS联合modelsim仿真(quartus13.0)

点击1处清除仿真数据,2处重新开始仿真即可

QUARTUS联合modelsim仿真(quartus13.0)文章来源地址https://www.toymoban.com/news/detail-488302.html

到了这里,关于QUARTUS联合modelsim仿真(quartus13.0)的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【FPGA】Quartus项目工程创建以及联合Modelsim进行仿真(FPGA项目创建与仿真)

    目录 软件下载 Quartus中设置Modelsim-Altera仿真器 创建新项目 编写项目代码 编写测试模块   这里使用的是Quartus Prime Light 18.1 以及ModelSim Intel FPGA 10.5b,两个软件在同一个地方下载,附网址https://www.intel.com/content/www/us/en/software-kit/665990/intel-quartus-prime-lite-edition-design-software-version-

    2024年03月15日
    浏览(50)
  • QUARTUS 2 基本操作使用(quartus13.0)

    本文从建立完工程开始,到下载结束 编写设计文件  点击Files,可以添加设计文件      设置工程顶层 ​编辑  再此介绍下工具栏,只介绍用的多的 绑定引脚:fpga大部分引脚都是GPIO,因此给他编辑代码后(赋予他功能),就需要绑定引脚,确定每个引脚功能 综合: 是qua

    2024年02月13日
    浏览(25)
  • 关于Quartus II 13.0破解失败问题

    问题描述: 安装quartus II 13.0时,使用破解器生成licence.dat文件时,提示了大致如下内容: 提示框的标题是sys_cpt.dll。 显示问题:该文件正在使用。。。。 (注意不是某些人遇到的未找到sys_cpt.dll未被找到) 请在检查确保破解器正确安装在对应的bin/bin64目录下无效后再寻找其

    2023年04月09日
    浏览(31)
  • 【MODELSIM】Quartus ii 中使用modelsim仿真

    1.仿真环境设置 Quartus ii 中调用modelsim,首次使用modelsim时设置 在tools–options–General–EDA Tool Option中设置好Modelsim的安装文件的路径 2.设置EDA仿真工具 Assignments - settings - EDA Tool settings Simulation选择modelsim 语言选择VHDL 3.生成顶层模块vhd文件 Bdf文件无法直接调用modelsim,在顶层b

    2024年02月04日
    浏览(29)
  • Quartus调用ModelSim进行仿真的步骤

    1.建立工程,在建立工程的第四步中的Simulation下选择Tool Name为ModelSim-Altera,再在后面的Format(s)中选择Verilog HDL。继续构建完工程; 2.在工程中写好程序,保存并编译,确定没有错误后进行下一步; 3.选择Tools菜单中的Options,在General中选择EDA Tool Options,在右边的列表中有Model

    2024年02月11日
    浏览(27)
  • Quartus II 13.1与Modelsim SE的安装

    软件资源下载链接:提取码:38ny 注册资源下载链接(用于Quartus II):提取码:zbho 注册资源下载链接(用于Modelsim):提取码:hi5b 在文件 QuartusII_13.1 文件下,解压 QuartusSetup-13.1.0.162.rar ,: 解压后得到: 运行这个可执行程序: 点击next: 之后勾选对应选项并点击next: 之后

    2024年02月06日
    浏览(32)
  • vivado工程转换到quartus下联合modelsim仿真

    版本信息:quartus II 13.1  、modelsim DE 10.6c vivado用习惯了,现在快速换到quartus下仿真测试。 写一个操作文档,以fpga实现pcm编码为例。 目录 一、建立工程 1、准备源码和仿真文件  2、新建工程 3、加载源文件 4、选择器件 5、仿真器配置 6、工程信息 二、配置工程 7、设置顶层文

    2024年02月03日
    浏览(24)
  • 如何用modelsim仿真包含quartus IP核的工程

    最近在仿真sdram,遇到了一些问题,现在解决了,写出来分享给大家。 问题: 使用quartus和modelsim联合仿真sdram的时候,tb文件中例化了sdram的top文件和sdram的仿真模型,这样会报错,我就想着直接在modelsim中仿真,但是由于模块中有quartus生成的ip核,只在modelsim中仿真也会报错,

    2024年02月03日
    浏览(30)
  • 在quartus ii中创建testbench,并使用Modelsim仿真

    1.创建testbench Processing→Start→Start Test BenchTemPlate Writer 得到如下提示,表示创建成功: 2.打开并编辑测试脚本 用“打开文件夹”打开工程所在的文件夹→simulation→modelsim→“文件类型”按图所示更改→选择.vt格式文件,打开 文件中,注释掉或删掉$display(“Running testbench”); 和

    2024年02月02日
    浏览(24)
  • FPGA学习任意波函数信号发生器的设计(基于quartus II13.0)

    平台: quartus II 13.0 仿真:signal tap II 语言:VHDL 方式:原理图bdf输入 芯片:Cyclone IV E: EP4CE6E22C8 设计一任意波函数信号发生器,具备以下两功能: ①能输出标准正弦波波形。 ②能输出任意函数波形。 首先明确实验用到的宏模块操作是在tool——MeGaWizard Plug-In Manager中(如下图)

    2024年02月03日
    浏览(31)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包