四位全加器的设计与实践

这篇具有很好参考价值的文章主要介绍了四位全加器的设计与实践。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

四位加法器的原理

通过底层逻辑,我们可以通过组建半加器到一位全加器再到四位全加器,四位全加器可以由四个一位全加器构成,加法器之间可以通过串行方式实现。通过将低位加法器的进位输出cout与相邻的高位加法器的最低进位输入信号cin相连接

quartus使用前言

每一次新建一个block文件和Verilog文件,编辑完成之后要如下操作才能编译成功
四位全加器的设计与实践
如果要使用波形图仿真则block文件不能有数字(和汉字没试过,但是不推荐),否则极其容易失败

原理图实现构建四位加法器

首先,上一次我们做出了一个一位加法器,我们先通过使用过的方式,把一位加法器设置成一个单独的元件
四位全加器的设计与实践
接下来还是新建新建,file->new->Block
四位全加器的设计与实践

通过总线连接方式,对加法器进行拼接,我们需要两个input,两个output,一个gnd和四个一位全加器full_adder,如图连接成一个整体(右侧方括号写法分别是s[0],s[1],s[2],s[3],s[3…0])
四位全加器的设计与实践

连接完成后,保存编译

RTL图如下

四位全加器的设计与实践

波形图结果

还是新建一个波形图(file->new)
四位全加器的设计与实践
四位全加器的设计与实践
四位全加器的设计与实践

四位全加器的设计与实践

生成如下图像既是成功
功能仿真结果
四位全加器的设计与实践

时序仿真结果

四位全加器的设计与实践

Verilog实现四位加法器

依然是new->file
四位全加器的设计与实践
加入代码

module four_bit(a,b,cin,cout,dout);  
//与保存的文件名相同,但尽量不与之前的原理图名相同 
	input [3:0] a,b;   //a,b为4位输入
	input       cin;
	output cout; 
	output [3:0] dout;
 
	assign {cout,dout} = a+b+cin;   //a+b 加法操作
	//使用拼接运算符将cout、dout拼接,dout取结果中的低四位
 
endmodule

保存编译

RTL图如下

当然还是可以检查一下RTL图是否正确
四位全加器的设计与实践
如差不多是这样的一个没问题了
四位全加器的设计与实践

波形图结果

新建一个波形图仿真,还是file,new
四位全加器的设计与实践
引进多个引脚,这里直接显示结果
功能仿真结果

时序仿真结果
四位全加器的设计与实践

DE2-115开发板实验验证

因为刚才我们已经编译成功了,接下来我们直接配置引脚
四位全加器的设计与实践
四位全加器的设计与实践
接下来烧录
四位全加器的设计与实践

四位全加器的设计与实践

四位全加器的设计与实践

四位全加器的设计与实践

板子上的结果
四位全加器的设计与实践
这个就是最右边的四位是一个数,往左数的四位又是一个数,第九位是cin,上边亮灯就是结果,看起来很成功!

总结

首先,每一次新建一个block文件和Verilog文件,编辑完成之后要指定文件才能编译成功。另外使用波形图时文件名不能有数字,有些许麻烦,但是总体还是比较成功的。那就下次见了拜了个拜~

参考文章

QuartusII实现4位加法器

【Verilog设计—仿真实验】四位加法器的实现文章来源地址https://www.toymoban.com/news/detail-488407.html

到了这里,关于四位全加器的设计与实践的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 一位全加器及四位全加器————FPGA

    环境: 1、Quartus18.0 2、vscode 3、基于Intel DE2-115的开发板 全加器简介: 全加器英语名称为full-adder,是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器。一位全加器可以处理低位进位,并输出本位加法进位。多个一位全加器进行级联可以得到多位全加器。

    2024年02月03日
    浏览(39)
  • FPGA入门:QuartusⅡ实现半加器,全加器,四位全加器

    1、半加器是指对输入的两个一位二进制数相加,输出一个半加结果位和半加进位的组合电路,是没有进位的输入加法器电路,是一个实现一位二进制数的加法电路。 2、半加器的真值表如下; S位结果位,C为进位 3、由真值表可以推出半加器的逻辑表达式为: 4、半加器逻辑电

    2023年04月25日
    浏览(30)
  • # Quartus实现四位全加器

    1.首先将之前做的一位全加器作为模块选择File-Create/Update-CreateSymbolFilesforCurrentFile 选择File-New-Block Diagram/Schematic File 选择元件(四个fulladder,两个input,两个output,两个gnd) 原理图如下(注意标注输入输出名和分支名) 编译成功后选择tool-Netlist Viewers-RTL Viewer得到电路图如下

    2024年02月08日
    浏览(34)
  • 一位全加器的设计与实践

    半加器是能够对两个一位的二进制数进行相加得到半加和以及半加进位的组合电路,其真值表如下 也就是说,这个半加器的输出表达式为S=A⊕B,C=AB,逻辑电路图如下 全加器的真值表如下,其中Ain表示被加数,Bin表示加数,Cin表示低位进位,Cout表示高位进位,Sum表示本位和

    2024年02月08日
    浏览(31)
  • 四位全加器Modelsim仿真及QuartusII下查看RTL原理图

    四位全加器代码

    2023年04月08日
    浏览(24)
  • 使用verilog编写三八译码器及四位全加器

    目录 一、三八译码器原理 二、使用verilog编写三八译码器 1.使用verilog代码如下: 2.verilog的数据类型 (1)wire类型 (2)reg类型 三、使用verilog编写全加器 1.一位全加器  2.四位全加器 3.八位全加器  总结 三八译码器的输入信号有三个,相当于有八个二进制编码可以输入,每个

    2024年02月04日
    浏览(31)
  • 基于Quartus件完成1位全加器的设计及4位全加器的设计

    (1)、半加器的设计 半加器: 指对输入的两个一位二进制数相加a与b,输出一个结果位sum和进位cout 半加器真值表: a b sum cout 0 0 0 0 1 0 1 0 0 1 1 0 1 1 0 1 半加器输出表达式: 项目创建: 打开Quartus创建新项目: 设置项目路径及名称(adder4位实验名命名): 选择芯片(EP4CE115

    2024年02月05日
    浏览(29)
  • Verilog设计_全加器

    一种全加器的设计。 目录 一、全加器 二、代码实现 全加器是用门电路实现两个二进制数相加并求和的组合线路,也称为一位全加器,是一种常用的设计。全加器可以处理低位进位,并输出本位加法进位。多个一位全加器进行级联可以得到多位全加器,例如常用的二进制四位

    2024年02月07日
    浏览(34)
  • 07-层次化设计 -- 全加器

    数字电路中根据模块层次不同有两种基本的结构设计方法: 自底向上的设计方法和自顶向下的设计方法 自底向上的设计是一种传统的设计方法,对设计进行逐次划分的过程是从存在的基本单元出发的(基本单元是已有的或者是购买的),有基本单元构建高层单元,依次向上,直至构建

    2024年02月06日
    浏览(31)
  • FPGA—基于Quartus软件设计全加器

    本篇博客主要是基于Quartus软件件完成一个1位全加器的设计,分别采用:1)原理图输入 以及 2)Verilog编程 这两种设计方法。开发板基于Intel DE2-115。 1、半加器 1、定义: 半加器是能够对两个一位的二进制数进行相加得到半加和以及半加进位的组合电路。 2、真值表: A,B表示

    2024年02月06日
    浏览(32)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包