vivado DDR配置讲解

这篇具有很好参考价值的文章主要介绍了vivado DDR配置讲解。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一、工程创建

注意一定要选verilog语言,后续才能配置mig

二、打开mig配置界面

1.如果创建了工程,但是没有创建MIG IP核
vivado DDR配置讲解
图1

2.如果是已经配置好了MIG IP核,想要修改其设置。
双击图中所示vivado DDR配置讲解
图2

三、 配置步骤

说明:官方的教程可以点击配置界面左下方的user guide,去其网站上下载官方的英文说明文档**
1.确认一下器件**vivado DDR配置讲解
图3

2.是否设置axi4接口配置

(1)纯FPGA的芯片(A系列(如正点原子的达芬奇开发板芯片为xc7a35t-fgg484(也就是A7-35T)或者xc7a100tfgg484-2等(也就是A7-100T)),K系列),一般DDR是直接连接到FPGA,采用native接口;教程参考正点原子达芬奇开发板。

(2)ZYNQ系列的(ZYNQ系列的DDR挂载在PS端),采用的AXI4总线连接DDR。教程参考正点原子ZYNQ sdk开发教程。

vivado DDR配置讲解
图4

两种不同类型对应的设计框图如下
这是native 接口
vivado DDR配置讲解
图5

下图是axi接口
vivado DDR配置讲解
图6

3.其他芯片的兼容性选择(一般不勾选)
vivado DDR配置讲解
图7

4.DDR控制器类型选择
vivado DDR配置讲解
图8

5.时钟配置
vivado DDR配置讲解
图9

根据选择的DDR芯片的数据手册对应的时间,可以计算出频率=1/1.25ns=800Mhz.
vivado DDR配置讲解
图10

官方手册的解释是这个频率是考虑到DDR和FPGA芯片
vivado DDR配置讲解
图11

位宽的问题,参考这篇博客
https://blog.csdn.net/m0_52840978/article/details/121191028
vivado DDR配置讲解
图12
vivado DDR配置讲解
图13

上图中的400M是什么意思呢?
对应的是DDR芯片的工作频率,也就是下图的右下角,DDR芯片的工作频率部分
整体的配置示意图如下
vivado DDR配置讲解
图14

高清的图片详见附件
https://download.csdn.net/download/qq_41869515/87583142

之后next下一页
这里是MIG IP核的系统时钟设置,一般设置为200M,截屏里边的是400M(器件限制了,所以没法设置到200M)
vivado DDR配置讲解
图15

next
vivado DDR配置讲解
图16

next
如果有提前设置好的引脚约束文件就选下边的设置fixed pin out.如果只是做仿真,就不需要和实际的FPGA引脚绑定,就选new design.
vivado DDR配置讲解
图17

其他默认点击yes就行

有些地方如果有理解不到位,欢迎评论区更正。文章来源地址https://www.toymoban.com/news/detail-490425.html

到了这里,关于vivado DDR配置讲解的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • vivado工程创建及工程测试testbench教程

    按如下30步流程即可创建并完成仿真 第三步对工程命名 第七步搜索你的FPGA板型号 此处右键design sources选择出现的add sources 此处为design sources 第十四步对你的design sources命名 第十八步双击design sources中你创建的文件 这里我绑定了notepad++,因此自动跳转到notepad++,因为vivado自带

    2024年02月07日
    浏览(33)
  • Vivado SDK工程创建指南

    在完成工程创建后,详见: Vivado使用指南 第一步先选择主页左侧菜单栏中的模块创建,如下图所示: 可自定义模块名称,然后选择ok即可。 输入zynq后,双击该IP核完成创建。 最后我们可以得到如下图所示模块: 接着双击该IP核进行设置,我们可以看到ZYNQ模块的结构框图。

    2023年04月08日
    浏览(30)
  • VIVADO2022 sdk 工程创建流程

    正点原子uart历程复现 create block design(起名 为System) - open block design - +号/IP catalog 中搜索zynq 双击打开, 将和pl相关的时钟都干掉 再auto 布线一下 把herarchy中的sources 中的system.bd右键、 无脑下一步导出 如果不能无脑下一步,生成遇到错误,找其他的工程试试,大概率工程的问

    2024年02月14日
    浏览(32)
  • Vivado开发FPGA使用流程、教程 verilog(建立工程、编译文件到最终烧录的全流程)

    目录 一、概述 二、工程创建 三、添加设计文件并编译 四、线上仿真 五、布局布线 六、生成比特流文件 七、烧录 一、概述 vivado开发FPGA流程分为创建工程、添加设计文件、编译、线上仿真、布局布线(添加约束文件)、生成比特流文件、烧录等步骤,下文将按照这些步骤讲

    2024年02月09日
    浏览(25)
  • Vivado工程创建、仿真、下载与固化全流程

    本文以一个简单工程为例,介绍使用Vivado新建工程、代码的编写、Testbench代码的编写、波形仿真分析、引脚约束、生成bit流文件、通过JTAG将网表下载到开发板、程序的固化与下载全流程。 选自 野火《FPGA Verilog开发实战指南——基于Xilinx Artix7》

    2024年02月02日
    浏览(31)
  • 编写Tcl脚本创建整个Vivado工程并通过Git对Tcl脚本进行管理

    简介 Tcl(Tool Command Language)是一种简单易学、高效的脚本语言,可用于多种应用程序和操作系统。Xilinx Vivado是一款用于FPGA开发的综合软件平台,其内部集成了Tcl Shell,可以通过编写Tcl脚本自动化完成Vivado的工程创建和配置,从而提高工作效率和代码复用性。本文将介绍如何

    2024年02月16日
    浏览(41)
  • 基于vivado的DDR3仿真

    最近在使用ddr,开发的过程中出现了好多问题,特别是在仿真这一块,现在把遇到的问题记录一下。 在vivado中仿真DDR的时候,有一个关键的地方,就是添加DDR模型和参数。 本文以黑金的开发例程来举例,程序主要包括三个部分: DDR测试程序、DDR控制程序、DDR IP核。这个时候

    2024年02月13日
    浏览(30)
  • 4.1.3 vivado中AXI写DDR说明

    link 在使用ZYNQ7021系列的FPGA,若涉及到PL部分读写DDR,可使用过AXI-Lite,AXI4-FULL,AXI-Stream这三个IP来实现,使用的是这三个IP的主机模式。 AXI4:主要面向高性能地址映射通信的需求; AXI4-Lite:是一个简单地吞吐量地址映射性通信总线; AXI4-Stream:面向高速流数据传输; AXI4总线

    2024年02月11日
    浏览(44)
  • 微服务parent工程和子工程pom文件配置注意

    重要配置:

    2024年02月07日
    浏览(31)
  • 【DDR】基于Verilog的DDR控制器的简单实现(一)——初始化

    在FPGA中,大规模数据的存储常常会用到DDR。为了方便用户使用,Xilinx提供了DDR MIG IP核,用户能够通过AXI接口进行DDR的读写访问,然而MIG内部自动实现了许多环节,不利于用户深入理解DDR的底层逻辑。 本文以美光(Micron)公司生产的DDR3芯片MT41J512M8RH-093为例,说明DDR芯片的操作

    2024年02月02日
    浏览(37)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包