国民技术N32_MCU ADC如何获取高精度采样数据

这篇具有很好参考价值的文章主要介绍了国民技术N32_MCU ADC如何获取高精度采样数据。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

前言


国民技微控制器内置最多四个高级 12 ADC  (取决于产品系列) ,具有校准功能,用于提高环境条件 化时的 ADC 精度。

在涉及模数转换的应用中,  ADC 精度会影响整体的系统质量和效率。为了提高此精度,必须了解与 ADC 的误差以及影响它们的参数。 ADC 精度不仅取决于 ADC 性能和功能,还取决于 ADC 周围的整体应 用设计。

此应笔记旨在帮助用户了解 ADC 误差,并解释如何提高 ADC 精度。它分为三个主要部分:

ADC 内部结的简述,帮助用户了解 ADC 操作和相关的 ADC 参数

 解释与 ADC 设计和外部 ADC 参数(例如外部硬件设计)有关的ADC 误差的不同类型和来源

• 关于如何使这些误差最小化的建议,侧重于硬件和软件方


目录


1 、SAR  ADC 简介  1

1.1 SAR ADC 基本原理 1

2 、ADC 误差因素 2

2.1 环境导致的 ADC 度误差 2

2.1.1  参考电压噪声/误差 2

2.1.2  模拟输入信号噪声 2

2.1.3  模拟信号输入阻抗的影响 3

2.1.4 I/O  引脚串扰 4

2.1.5  模拟信号电压超过范围 4

2.2 件错误配置 4

2.2.1  时钟配置不合理 4

2.2.2  采样周期参数选择不合理 5

3、 获取高精度采样数据  5

3.1 部环境对 ADC 精度的误差最小化 5

3.1.1  参考电压噪声/误差最小化 5

3.1.2  模拟输入信号噪声消除 5

3.1.3  模拟信号输入阻抗影响最小化 6

3.1.4 I/O  引脚串扰最小化 6

3.1.5  模拟信号输入电压控制 7

3.2 软件正确配置 7

3.2.1 ADC  时钟配置 7

3.2.2  采样周期匹配 9

3.2.3  采样值平均 10

3.2.4 ADC  上下电和校准 10

3.2.5  通道切换 10

4、 结论 11

N32通用MCU 高精度ADC调试介绍

1 SAR 型 ADC 简介

1.1 SAR ADC 基本原理

  SAR ADC,即逐次逼近型 ADC,采用的是多次比较的方式来获得最终的输出结果分辨率一般为 8 位至20 位,具有低功耗、小尺寸等特点

国民技术N32_MCU ADC如何获取高精度采样数据 

1.1.1 SAR ADC工作原理模型

国民技术微控制器中内置的ADC使SAR  (逐次逼近)  原则,分多步执行转换。转换步骤数等于ADC 换器中的位数。每个步骤均由ADC时钟驱动。每个ADC时钟从结果到输出产生一位。 ADC的内部设计基于切换电容技术。下图是以10ADC为例的SAR切换电容的数字输出基本原理图。

国民技术N32_MCU ADC如何获取高精度采样数据

1.1.2 SAR ADC设计电路模型

2、ADC 误差因素

2.1 环境致的 ADC 精度误差

2.1.1 参考电压噪声/误差

   VDDA 模拟电源作被用作为 ADC 参考电压(VREF+ ),而模拟信号电压采集是以参考电压最为基准, 因此 VDDA 模拟参考上的任何噪声都会导致转换后的数字值变化。硬件设计要求 VDD VDDA 直连,VDD 电源 的质量会影响 ADC 采样精度。

   例如, 当参考电压为 3.3V  (VDD = VDDA = VREF+ ) ,采集稳定模拟信号 1V ,保证软件配置符合要求, 转换后的结果为:

(1/3.3) × 4095 = 0x04D9

但是, 当 VDD 电源的峰间出现 10mv 的波动时,转换结果为:

(1/3.34) × 4095 = 0x04CA

误差 = 0x4D9 – 0x4CA = 15 LSB

除了可以选择 VDDA 电源作为参考电压, 部分型号也可以选择参考电压为内部 VREF ,内部参考电压 的本身误差也会导致转换后的数字值变化。

例如, 选择内部参考压为 2.4V  (N32G03X 系列) ,采集稳定模拟信号 1V ,保证软件配置符合要求且 考电压保持稳定, 转换后的结果为:

(1/2.4) × 4095 = 0x06AA

内部参考电压误差在 2%以内,计算最大比例误差, 转换结果为

(1/2.4) × 4095 ×   (1-2%) = 0x0688

误差 = 0x06AA - 0x0688 = 34 LSB

2.1.2 模拟输入信号噪声

   电气设备(例如电机、电源线) 会在模拟信号上产生噪声,这些小而高频的信号变化出现在采样时间内, 会导致转换数字值出现较大的误差。

   例如, 当参考电压为 3.3V  (VDD = VDDA = VREF+ ) ,采集稳定模拟信号 1V ,保证软件配置符合要求, 转换后的结果为:

(1/3.3) × 4095 = 0x04D9

但是, 当模拟信号的峰间出现 10mv 的波动在采样时间内时, 转换结果为:

(101/3.3) × 4095 = 0x04E5

误差 = 0x4E5 – 0x4D9 = 12 LSB

2.1.3 模拟信号输入阻抗的影响

   模拟信号和芯引脚之间的阻抗或串联电阻, 会影响流入引脚的电流而导致采样电压出现变化。模拟信号 是通过电阻为 RADC 的开关控制内部采样电容 (CADC )的充电, 当添加其和芯片引脚之间的阻抗 RAIN 后,保持 电容充电所需时间会延长。

国民技术N32_MCU ADC如何获取高精度采样数据

2.1.3.1 SAR ADC 采样等效电路模型

采样过程中开关闭合,采样电容上面的电压等效成一个一阶 RC 建立, 建立时间常数 T=(RIN+RADC)*CADC

国民技术N32_MCU ADC如何获取高精度采样数据
国民技术N32_MCU ADC如何获取高精度采样数据

2.1.3.2 SAR ADC 采样精度和信号阻抗模型                   表 2.1.3.1 SAR ADC 采样建立时间常数

CADC 的有效充电收 RADC + RAIN 控制,充电时间常量为 tc =    (RADC + RAIN ) × CADC 。如果采样时间短于通 RADC + RAIN CADC 充满的时间,ADC 转换的数字值会小于实际值。    

2.1.4 I/O 引脚串扰

由于 I/O 之间的电容耦合,在切换 I/O 或者相邻数字端口存在高频翻转信号可能会在 ADC 的模拟输入信 号中产一些噪声。 通常距离很近或交叉的 PCB 走线 I/O 端口也会产生串扰在模拟信号上。

PCB 走线上与模拟输入走线交叉的数字走线可能会影响模拟信号。

PCB 模拟参考地与数字参考地没有隔离也可能会影响模拟信号。

国民技术N32_MCU ADC如何获取高精度采样数据

2.1.4.1 I/O 串扰的影响效果

2.1.5 模拟信号电压超过范

   模拟 I/O 端口输入低于-0.2V 电压或者高于 VDD 电压,会导致内部模拟输入通道导通,负压情况下会拉低 其他模拟 I/O 采样数字值,高于 VDD 时,会拉高其他模拟 I/O 的采样数字值。

2.2 软件错误配置

2.2.1 时钟配置不合理

ADC 时钟源分为工作时钟源、 采样时钟源和计时时钟源。

 工作时钟为 HCLK,主要用于访问寄存器

■ 采时钟为 ADC_CLK ADC_CLK 有两个源(HCLK 的分频或 PLL 的分频) , HCLK 分频与

系统是同步时PLL 的分频与系统是异步时钟, 用同步时钟的好处是在触发 ADC 响应触发时,没 有不定性,用 PLL 的分频时钟的好处是可以独立处理 ADC 的工作时钟, 不会影响到挂在 HCLK    其他模块

■ 计数时钟可以是 HSI HSE ,主要用于内部及时, 在 RCC 配置中,频率大小必须配置成 1MHZ 三个 ADC 时钟在不同系列下,需要根据产品主频和时钟限制做合理配置,任何超出时钟限制或达不大要 求的频率配置, 都会导致转换数字值出现偏差。

2.2.2 采样周期参数选择不合理

ADC 需要根据模拟入信号的驱动能力来选择软件采样保持时间, 模拟信号和芯片 I/O 之间的阻抗越  大,所需采样时间越长。选择采样时间偏小,高阻抗输入的采集信号不能在采样时间内充满 CADC ,导致转换 数字值偏小。

3、 如何获取高精度采样数据

3.1 将外部环境对 ADC 精度的误差最小化

3.1.1 参考电压噪声/误差最小化

     ☑ VDDA 参考电压

不推荐 ADC 参考源择内部参考电压,由于其本身存在的误差无法规避及芯片个体差异性, 无法得出精 的采样数据。建议 ADC 参考源选择外部参考电压 VDDA VREF+

    ☑ 外部 VREF 噪声屏蔽

为提高转换度,可以给 ADC 有一个独立的电源供应,它可以被单独滤波和屏蔽以不受 PCB 噪音的干 扰,一个独立的 VDDA 引脚给 ADC 供电, VSSA 引脚提供一个隔离的接地输入。

建议在电源线和地线之间连接具有优良高频特性的电容。也就是说,应在靠近电源的位置安装一个 0.1 µF 和一个 1 µF 的去耦电容,详情可参考数据手册。

3.1.2 模拟输入信号噪声消除

  ☑​​​​​​​    平均方

  平均值滤波可以通过软件方式对模拟输入多次采样,筛掉最大值和最小值, 取剩下结果的均值。当模拟电 压不频繁变化时,此技巧有助于消除模拟输入上的噪声

通过软件滤波的方式,可以减少入信号噪声对转换结果的干扰, 但不会消除误差。

  ☑​​​​​​​   添加外部滤波器

  模拟信号入通道添加外部 RC 滤波器以消除高频噪声, 测量输入信号噪声,选取合适的阻容参数, 截至 频率 fc 刚好高于所涉频率范围的低通滤波器就足以限制噪声和混叠。

  添加外部 RC 滤波器虽然可以消除噪声, 但也会对 ADC 的采样速率造成影响,这种情况下, 需加长模拟 信号的采样周期,体计算可参考第 3.2.2 节的采样时间匹配。

3.1.3 模拟信号输入阻抗影响最小化

    ☑​​​​​​​ 减小输入阻抗

模拟信号芯片采样电路端的阻抗越小, CADC 所需时间也会越小, 这种情况下就能实现高速 ADC 采样。 样稳定的模拟信号,连接通路上不要连接 RC 器件。

    ☑​​​​​​​ 采样时间匹配

模拟信号串联电阻保持电压稳定,则需要匹配合适的采样周期,阻抗越大, 采样周期越长。例如,采样内 部通道电压,通道上的阻抗无法测量,设计仿真其阻抗总体偏大, 选择采样周期则需要更长一点(参考 SDK    ADC 温度传感器的采样周期) ,否则转换出来的结果会偏小。

根据输入阻抗匹配采样时间,具体计算可参考第 3.2.2 节的采样时间匹配。

3.1.4 I/O 引脚串扰最小化

  ☑​​​​​​​   相邻 I/O 干扰

模拟信号输入的相邻 I/O,不能出现高频翻转信号。进行电路设计与 I/O 功能选择时,模拟通道相邻通道 最好不要选择高速通信功能。

   ☑​​​​​​​     PCB 走线优

模拟信号到 I/O PCB 走线,秉承就近原则, 距离越短, 干扰越小,转换的结果越真实可靠。

 ADC 的采样速率要求较高, 需要做对地隔离, 同时在模拟 I/O 与相邻端口铺地隔离。也可以在输入 信号走线层全层铺地, 例如三层板结构,第一层走模拟信号,第二次铺地,第三层为其他信号走线层。这种 情况能最大程度做到隔离噪声干扰。

国民技术N32_MCU ADC如何获取高精度采样数据 

 3.1.4. 1 I/O 减少串扰的影响效果

3.1.5 模拟信号输入电压控制

  ☑​​​​​​​   输入电压控制-0.2V~VDD

使用某一 ADC 通道时,不能在其它未使用的 ADC 采样通道施加负压(比如-0.33V),  如果施加了此 负电压,会导致正常采样的 ADC 通道电压被拉低,导致读取的数据不准。

在使用某一 ADC 通道时,不能在其它未使用的 ADC 采样通道施加高压(大于 VDD 电压) ,如果施加了 此高电压, 会导致正常采样的 ADC 通道电压被拉高,导致读取的数据不准。

3.2 软件正确配

​​​​3.2.1 ADC 时钟配置

ADC 需要三个时钟, 工作时钟、采样时钟和计数时钟,在进行软件配置时, 三个配置都需要进行正确配 置,否则会影响转换的数字值。

  ☑​​​​​​​   工作时钟

这是访问寄存器的时钟, ADC 外设挂载在 HCLK 总线上, 所以不同系列的 MCU 配置 ADC 工作时钟的软 件操作一样,以库函数操作为例:

N32G45x

 1. RCC_EnableAHBPeriphClk(RCC_AHB_PERIPH_ADC1 | RCC_AHB_PERIPH_ADC2 | RCC_AHB_PERIPH_ADC3 | RCC_A     HB_PERIPH_ADC4,  ENABLE);

N32G43x/N32L40x/N32L43x:

 1.  RCC_EnableAHBPeriphClk(RCC_AHB_PERIPH_ADC, ENABLE);

N32G03x:

 1. RCC_EnableAHBPeriphClk(RCC_AHB_PERIPH_ADC, ENABLE);

  ☑​​​​​​​   采样时

ADC_CLK ADC 的采样时钟, ADC_CLK 有两个源(HCLK 的分频或 PLL 的分频), HCLK 分频与 系统是同步时钟, PLL 的分频与系统是异步时钟, 用同步时钟的好处是在触发 ADC 响应触发时,没有不确定 ,用 PLL 的分频时钟的好处是可以独立处理 ADC 的工作时钟,不会影响到挂在 HCLK 的其他模块。

N32G45x:该系列工作主频最高为144MHZ,可配置PLL  作为采样时钟源,最高可到72MHz ,支持分频 1,2,4,6,8,10,12,16,32,64,128,256 可配置 AHB_CLK 作为采样时钟源,  最高可到 72MHz ,  支持分频    1,2,4,6,8,10,12,16,32

以库函数配置为例, 当系统主频大于 72MHZ 时, ADC_CLK 必须至少 2 分频。

1. ADC_ConfigClk(ADC_CTRL3_CKMOD_AHB,RCC_ADCHCLK_DIV2);  AHB_CLK 作为采样时钟源

 1. ADC_ConfigClk(ADC_CTRL3_CKMOD_PLL,RCC_ADCPLLCLK_DIV2);  PLL 作为采样时钟

N32G43x/N32L43x:该系列工作主频最高为 108MHZ,可配置 PLL 作为采样时钟源,  最高可到 72MHz 支持分频 1,2,4,6,8,10,12,16,32,64,128,256。可配置 AHB_CLK 作为采样时钟源,  最高可到 72MHz ,  支持分频 1,2,4,6,8,10,12,16,32

以库函数配置为例, 当系统主频大于 72MHZ 时, ADC_CLK 必须至少 2 分频。

 1. ADC_ConfigClk(ADC_CTRL3_CKMOD_PLL,RCC_ADCPLLCLK_DIV2);  PLL 作为采样时钟源

1. ADC_ConfigClk(ADC_CTRL3_CKMOD_AHB, RCC_ADCHCLK_DIV2);  AHB_CLK 作为采样时钟源

N32L40x:该系列工作主频最高为 64MHZ,可配置 PLL 作为采样时钟源,  最高可到 64MHz ,  支持分频 1,2,4,6,8,10,12,16,32,64,128,256。可配置 AHB_CLK 作为采样时钟源,  最高可到 64MHz , 支持分频 1,2,4,6,8,10,12,16,32

函数配置为例, 当系统主频最大 64MHZ ADC_CLK 最少可以 1 分频。

 1. ADC_ConfigClk(ADC_CTRL3_CKMOD_AHB, RCC_ADCHCLK_DIV1);  AHB_CLK 作为采样时钟源

1. ADC_ConfigClk(ADC_CTRL3_CKMOD_PLL,RCC_ADCPLLCLK_DIV1);  PLL 作为采样时钟源

N32G03x:该系列工作主频最高为 48MHZ,可配置 PLL 作为采样时钟源,  最高可到 18MHz ,  支持分频 1,2,4,6,8,10,12,16,32,64,128,256。可配置 AHB_CLK 作为采样时钟源,  最高可到 18MHz ,  支持分频        1,2,4,6,8,10,12,16,32

以库函数配置为例, 当系统主频最大 18MHZ 且为 48MHZ 时, ADC_CLK 最少可以3 分频。

 1. ADC_ConfigClk(ADC_CTRL3_CKMOD_AHB, RCC_ADCHCLK_DIV3);  AHB_CLK 作为采样时钟源 

2. ADC_ConfigClk(ADC_CTRL3_CKMOD_PLL,RCC_ADCPLLCLK_DIV3);  PLL 作为采样时钟源

  计数时

ADC_1MCLK 用于内部计时功能,在 RCC 中配置,频率大小必须配置成 1M。可以选择 HSE HSI 作为 计数时钟源,需根据时钟源的主频, 配置分频比后为 1M 时钟。

以库函数为例, 时钟源默认为 8MHZ ,则软件配置应该为:

 1. RCC_ConfigAdc1mClk(RCC_ADC1MCLK_SRC_HSI, RCC_ADC1MCLK_DIV8); HSI 8MHZ 

1. RCC_ConfigAdc1mClk(RCC_ADC1MCLK_SRC_HSE, RCC_ADC1MCLK_DIV8); HSE 8MHZ

进行 ADC 软件配置过程中, 需要保证三个时钟配置符合用户手册要求, 异常配置会导致出现采样转换 数字值异常情况

3.2.2 采样周期匹配

匹配合理的采样周期能提高 ADC 采样精度,使其在 ADC 最高性能下获取精准的采样转换数字值。 

  ☑​​​​​​​   转换速率计算

ADC 使 若 干 个 ADC_CLK 周 期 对 输 入 电 压 采 样 ,  采 样 周期 数 目 可 以 通 过寄存器中更改。 每个通道可以分别用不同的时间采样。总转换时间如下计算:

TCONV = 采样时间+ 量化周期

样时间可以通过软件配置,量化转换周期是固定值, N32G45x/N32G43x/N32L4xx 系列的量化周期为 12.5 个周期,N32G03x 系列的量化周期为 12 个周期。

不同系列的 ADC 最高采样速率有区别,N32G45x 最高采样速率(采样+量化) 为 5MSPS,

N32G43x/N32L4xx 系列的模拟输入通道分快速和慢速,快速通道最高采样率为 5MSPS ,慢速为 3MSPS ,具体 引脚可参考用户手册N32G03x 的最高采样速率为 1MSPS

N32G45x 系列举例, 配置 ADC_CLK 72MHZ ,软件设置采样周期 1.5 ,根据上面公式计算: ADC 采样速率 = 72 /  (1.5 + 12.5) = 5.14 MSPS

  ☑​​​​​​​   阻抗匹配

获取更精准的测量结果, 需要选择合适的采样周期及通道输入阻抗, 其最大RAIN 计算公式为: RAIN  <

 − RADC Ts :软件中配置的采样周期数, 此为数值;fADC ADC 的采样时钟,可参考章节

3.2.1 CADC 5pF  (N32G45x/N32G43x/N32L4xx)、 13pF  (N32G03x 系列) ,计算时需要换算为 F(法拉)单位; ln(2N+2) N 表示 ADC 的转换位数为 12RADC 70Ω(N32G45x 系列)、 200Ω(N32G43x/N32L4xx 快速通 道) 、500Ω(N32G43x/N32L4xx 慢速通道)、 1500Ω (N32G03x 系列) 。用户在进行计算时需要注意单位的 换算。详细参数可参考各系列数据手册

 N32G45x 系列举例, ADC 采样时钟为 72MHZ ,外部模拟信号输入阻抗为 1000Ω,为匹配合适的采样 周期应该如何计算:

RAIN  <                      Ts                             − RADC

    72000000×5×1012 ×ln(212+2)

计算出,TS >  3.7 ,软件配置采样周期需要大于 3.7

3.2.3 采样值平均

此方法的原理是提高 ADC 精度但降低 ADC 转换速度。如果被测模拟信号产生不稳定的 ADC 值,则可以 通过对一组值进行平均来获得给定输入信号的平均值。通过选择适当数量的待平均样本执行平均。此数量取决 于需要的精度、最低转换速度和其他 ADC 误差水平(如果另一种误差对 ADC 精度有更大影响,则增加平均 值数量不影响总测量精

3.2.4 ADC 上下电和校准

     ☑​​​​​​​ ADC 上下电

ADC 上电,用户必须等待 PowerUp 过程完成才可以进入下一步的操作,可以通过查询 RDY 确认 是否上电成。 ADC 下电时,用户必须等待 PowerDown 过程完成才可以进行下一步操作,可以通过查询 PDRDY 确认是否下电完成。

 ☑​​​​​​​     ADC 校准

每次 ADC 时上电时, 最好执行 ADC 校准动作, 保证准换数字值准确。有的系列 ADC 具有校准自动装载 功能, 可以在下次电前装载第一次的校准值, 减少了 ADC 校准动作。

当模拟参考电压为 VDDA 时, VDD 供电为干电池等消耗性电源,可在每次采样前都进行 ADC 校准。

3.2.5 道切换

☑​​​​​​​   第一次采样

软件配置 ADC 时,第一次配置完通道采样周期和转换顺序且未开始触发转换时, CADC 会有一个注入电流 的过程,因此第一顺序的转换通道采样转换时间会大于软件配置时间, 后面的采样时间符合软件配置。

☑​​​​​​​   通道切

在进行采通道的切换时, CADC 会有一个先放电再充电的过程,因此模拟输入通道上的阻抗越小,放电 充电的速率就越快,采样保持所需时间就会越短。同时切换通道也会占用 3 ADC 工作时钟。     

☑​​​​​​​   模拟引脚悬空

拟引脚处于悬空状态时,进行采样保持就无法进行一个放电过程,此刻采集到的电压仍是上一通道对 CADC 的充电电压。

4、 结论

此应用笔描述主要的 ADC 误差, 以及尽可能减少 Nations ADC 误差和获得最佳 ADC 精度的方 应用设计原则。

法的选择取决于应用要求,并且总是在速度、精度、足够的计算能力和设计拓扑之间进行平衡。文章来源地址https://www.toymoban.com/news/detail-494073.html

到了这里,关于国民技术N32_MCU ADC如何获取高精度采样数据的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • STM32G4高精度定时器的同步功能

    STM32G474 所含的高精度定时器(HRTIMER)其实包含了多个定时器,多个定时器之间可以单独工作,也可以进行同步,且高精度定时器还能与片上的其他定时器以及其他芯片进行同步,本文将对高精度定时器的同步功能进行介绍。 HRTIME 的整体架构如下图所示,内含由 7 个定时器,主

    2024年04月23日
    浏览(48)
  • 关于STM32F103输入捕获高精度采集频率信号的方法

    前段时间需要做一款频率采集设备,由于成本考虑,使用了APM32F103作为主控,APM32F103和STM32F103基本完全通用,有极个别BUG。不影响本次试验。客户要求的频率信号为11KHz到23KHz,精度要求在任何频率范围误差不能大于当前频率的万分之一以上(排除温度影响),采集速度要10

    2024年02月15日
    浏览(26)
  • 高时空分辨率、高精度一体化预测技术之风、光、水能源自动化预测技术

    能源是国民经济发展和人民生活必须的重要物质基础。在过去的200多年里,建立在煤炭、石油、天然气等化石燃料基础上的能源体系极大的推动了人类社会的发展。但是人类在使用化石燃料的同时,也带来了严重的环境污染和生态系统破坏。近年来,世界各国逐渐认识到能源

    2024年02月17日
    浏览(35)
  • 【知识案例分享】蓝光3D扫描技术为航空精密零部件提供高精度三维检测

    · 蓝光三维扫描 航空制造是尖端技术的集成,而先进产品的研制生产必然带动尖端技术的发展。航空制造对产品质量的要求最为苛刻,需要进行高精度三维检测,确保最终零部件型面与设计图无限趋近,避免偏差过大影响零部件性能。 对于高精密、规则零部件的测量,三坐

    2024年02月02日
    浏览(50)
  • 高时空分辨率、高精度一体化预测技术的风、光、水自动化预测技术的应用

    第一章 预测平台讲解及安装 一、高精度气象预测基础理论介绍 综合气象观测+数值模拟模式; 全球预测模式、中尺度数值模式; 二、自动化预测平台介绍 Linux系统 Crontab定时任务执行机制 Bash脚本自动化编程 硬件需求简介 软件系统安装 编译器、函数库 

    2024年02月16日
    浏览(36)
  • 基于DSP+FPGA+ADS1282支持32Bit高精度数据采集方案(一)

    3.1 系统需求分析 3.1.1 系统功能设计要求 本硬件处理平台的主要任务有三类,一是数据采集,包括采集惯性测量元件 的输出信号,接收外部系统校正信息,如 GPS 信息等;二是数据处理与计算,包 括惯性测量元件的误差补偿、初始对准、导航参数解算和在线校正滤波等;三是

    2024年02月01日
    浏览(50)
  • 西安---高时空分辨率、高精度一体化预测技术之风、光、水能源自动化预测技术应用

    能源是国民经济发展和人民生活必须的重要物质基础。在过去的200多年里,建立在煤炭、石油、天然气等化石燃料基础上的能源体系极大的推动了人类社会的发展。但是人类在使用化石燃料的同时,也带来了严重的环境污染和生态系统破坏。近年来,世界各国逐渐认识到能源

    2024年02月16日
    浏览(36)
  • 高时空分辨率、高精度一体化预测技术之风、光、水能源自动化预测教程

    详情点击链接:高时空分辨率、高精度一体化预测技术之风、光、水能源自动化预测 第一: 预测平台及安装 一、高精度气象预测基础 综合气象观测+数值模拟模式; 全球预测模式、中尺度数值模式; 二、自动化预测平台 Linux系统 Crontab定时任务执行机制 Bash脚本自动化编程

    2024年02月17日
    浏览(30)
  • 西安丨高时空分辨率、高精度一体化预测技术之风、光、水能源自动化预测技术应用

    目录 ​第一章 预测平台讲解及安装 第二章 一体化预测工具详解与数据获取及制备 第三章 风资源预测自动化技术 第四章 太阳能资源自动化预测技术 第五章 水资源自动化预测技术 第六章 后处理自动化技术 更多推荐 能源是国民经济发展和人民生活必须的重要物质基础

    2024年02月17日
    浏览(33)
  • UWB高精度人员定位系统源码,微服务+java+ spring boot+ vue+ mysql技术开发

    工业物联网感知预警体系,大中小企业工业数字化转型需求的工业互联网平台 工厂人员定位系统是指能够对工厂中的人员、车辆、设备等进行定位,实现对人员和车辆的实时监控与调度的系统,是智慧工厂建设中必不可少的一环。由于工厂的工作环境比较复杂,如果管理不当

    2024年02月11日
    浏览(50)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包