【CXL】学习资源整理

这篇具有很好参考价值的文章主要介绍了【CXL】学习资源整理。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。



🔥点击查看精选 PCIe 系列文章🔥
🔥点击进入【芯片设计验证】社区,查看更多精彩内容🔥


📢 声明

  • 作者主页:【MangoPapa的CSDN主页】。
  • 本文首发于CSDN,转载或引用请注明出处【https://mangopapa.blog.csdn.net/article/details/131338871】。
  • 本文目的为 个人学习记录知识分享。因个人能力受限,存在协议解读不正确的可能。若您参考本文进行产品设计或进行其他事项并造成了不良后果,本人不承担相关法律责任。
  • 若本文所采用图片或相关引用侵犯了您的合法权益,请联系我进行删除。
  • 欢迎大家指出文章错误,欢迎同行与我交流 ~
  • 邮箱:mangopapa@yeah.net
  • 💬 直达博主:loveic_lovelife 。(搜索或点击扫码)


搜罗的一些 CXL 学习资料,仅供参考。

0. 如需加入【 CXL 技术交流💬群】,联系博主💬:loveic_lovelife

1. 重要入口


  1. CXL联盟官网:HOME | Compute Express Link
  2. CXL Consortium YouTube:CXL Consortium - YouTube
  3. CXL Regulated Videos YouTube:CXL Regulated Videos - YouTube
  4. CXL Webinar:Webinars | Compute Express Link
  5. CXL Blog:CXL Blog | Compute Express Link
  6. CXL 1.1 Training:CXL 1.1 Videos | Compute Express Link
  7. CXL 2.0 Training:CXL 2.0 Videos | Compute Express Link


2. 官方文档


2.1 协议规范

  1. CXL 3.0协议标准文档:CXL™ 3.0 Specification
  2. CXL 3.0文档勘误1:Errata for the Compute Express Link Specification Revision 3.0 August 2022
  3. CXL 3.0文档勘误2:Errata and Clarifications to the Compute Express Link Specification Revision 3.0 April 2023

2.2 白皮书


  CXL 1.0/2.0/3.0的白皮书,协议简介类文档,跟CXL标准规范同期发布。
  1. Introduction to Compute Express Link™[March 2019 - White paper]
  2. Compute Express Link™ 2.0 White Paper [November 2020 - White paper]
  3. CXL 3.0 specification [August 2022 – White Paper]
  4. An Overview of Reliability, Availability, and Serviceability (RAS) in Compute Express Link™ 2.0 [February 2021 - White paper]

  以下几个短视频简单介绍了CXL 1.0/2.0/3.0,对CXL有个大致了解,可搭配CXL白皮书一起看。

  1. Introduction to Compute Express Link™ (CXL™) Technology
  2. CXL™ 2.0 Overview
  3. Introducing CXL 3.0
  4. Exploring Compute Express Link™ (CXL™) Cache Coherency

2.3 Presentations

  大部分Presentation相关PPT都放在了下文网络研讨会Webinar位置,少部分PPT未找到现场视频,附在这:

  1. Compute Express Link™(CXL™): A Coherent Interface for Ultra-High-Speed Transfers [August 2019 - Presentation]
  2. Compute Express Link™ Overview Presentation
  3. CXL 2.0 Keynote Presentation

2.4 CXL 技术博文

CXL官方博文

  1. DRAM Resource Scalability Enabled by CXL™
  2. Insight into CXL 2.0 Security Features and Benefits
  3. CXL Fabric Management
  4. Compute Express Link™ (CXL™) 2.0 ECN:
  5. CXL™ Consortium and Gen-Z Consortium™ MoU Update: A Path to Protocol
  6. An Overview of Reliability, Availability, and Serviceability (RAS) in CXL™ 2.0 White Paper
  7. System Considerations for Compute Express Link™
  8. Compute Express Link: UEFI and ACPI Specification Enhancement Recommendations
  9. Compliance and Interoperability: Critical Indicators of Technology Success
  10. The Benefits of Serial-Attached Memory with Compute Express Link™
  11. You Asked, We Answered! Answers to Your CXL Consortium™ Webinar Questions
  12. Industry Sounds Off on the Emergence of Compute Express Link™ (CXL)
  13. Incorporation and Expanded Board of Directors Propel the CXL Consortium™ Toward a Bright New Future
  14. Introduction to Compute Express Link (CXL): The CPU-To-Device Interconnect Breakthrough

论文

  1. CXL over Ethernet: A Novel FPGA-based Memory

其他权威博客

  1. CXL枚举:CXL Enumeration: How Are Devices Discovered in System Fabric? - Verification - Cadence Blogs - Cadence Community
  2. How the CXL Standard Improves Latency in High-Performance Computing
  3. CXL Deep Dive – Future of Composable Server Architecture and Heterogeneous Compute, Products From 20 Firms, Overview of 3.0 Standard
  4. 深入浅出理解CXL,为什么关键是内存?
  5. CXL initiative tackles memory challenges in heterogeneous computing


3. 学习总结记录(中文)


3.1 入门-协议概要

  1. 关于CXL,你有哪些需要知道的?
    介绍了CXL 1.0~CXL 3.0的演进。文中对 memory pooling/Sharing、Back Invalidation、Bias、Fabric进行了简单的介绍。

  2. Compute Express Link (CXL): All you need to know

  3. CXL 概述_Happy_Enger
    可鉴之处:

    • 简单明了介绍了PCIe数据传输现状及其弊端;
    • 对三种协议及三种设备类型的介绍通俗易懂。

  4. 什么是CXL(Compute Express Link)技术?一文读懂CXL
    可鉴之处:

    • 对CXL技术在计算机系统、数据中心、人工智能方向的应用总结得挺好;
    • CXL vs PCIe/NVMe/CCIX
    • CXL挑战及解决方案

  5. 唯亚威陆小虎:CXL协议详解

  6. CXL简介_maxwell2ic

  7. 一文读懂CXL协议_FPGA技术联盟

  8. CXL技术分析_KGback

  9. CXL协议的认知记录_papaofdoudou

  10. CXL介绍(一)& CXL介绍(二)

  11. 一文告诉你CXL是什么,有什么新的机会 (上)

  12. 让英特尔傲腾凉凉的CXL 3.0,究竟是什么?

  13. CXL简介

  14. CXL的性能优势与主要特征

  15. CXL的奇袭

  16. CXL 联盟发布 Compute Express Link 3.0,其中都有哪些值得关注的亮点?

  17. FMS闪存峰会资料分享、CXL之我见

3.2 深入-细节探察

  1. 专栏:CXL学习笔记
  2. 老秦谈芯:CXL学习笔记
  3. CXL.cache 协议详解_cxl协议_Happy_Enger
  4. CXL RAS_system firmware view of cxl 1.1 hierarchy_Happy_Enger
  5. 基于CXL 的大内存池化
  6. CXL 2.0 Device配置空间寄存器组成_扩展配置空间_百里杨

3.3 反思-讨论展望

  1. CXL 盛况之余

  2. CXL 技术感想

  3. DirectCXL:基于CXL的直接访问、高性能内存分解框架

  4. CXL将解耦计算、存储和网络资源,可创建PB级内存存储层

  5. Compute Express Link CXL 3.0 是令人兴奋的分解构建块

  6. PCIe 5.0 的 CXL DRAM 产品能否让 OpenFOAM 榨干 CPU 性能?

  7. CXL是什么?CXL能为服务器带来哪些提升?
    亮点:服务器技术的两大瓶颈及CXL给出的解决方案

  8. 一文详解:为什么说CXL能重构数据中心?

  9. CXL、GenZ、CCIX架构以及未来的PM、内存和SSD形态

  10. CXL 1:管理和分层

  11. OpenCPAI、Nvlink、CCIX、CXL,支持cache coherency的价值是什么?
    夏晶大佬的提问,有几个蛮好的回答。

  12. CXL学习记录

  13. 对CXL的一些新认识

  14. CXL的应用设想

  15. 关于CXL的实际应用的想法

  16. CCIX是不是不如CXL有发展前景?

  17. CXL协议相比PCIe是怎么降低link layer的延迟的?

  18. 关于CXL (基于 PCIe 5.0) 的一点杂谈

  19. CXL及其switch技术展望:(一):CXL介绍、(二):CXL交换机、(三):发展方向

  20. 三星推出业界首款512GB CXL 内存模块,并计划升级 SMDK,这对 CXL 的发展有何作用?

  21. CXL会取代PCIe吗?

  22. 什么是内存和设备的池化?为什么说CXL 2.0会极大地改变服务器业态?CXL 3.0在哪里?

  23. CXL规范中ARB/MUX是啥呢,怎么工作的呢?

  24. CXL 深入探讨:可组合服务器架构和异构计算的未来

  25. CXL、GenZ、CCIX架构以及未来的PM、内存和SSD形态

  26. LWN:CXL 如何管理以及分层!_LinuxNews搬运工

  27. CXL漫谈–为什么需要CXL_sunsissy

  28. 唯有自身强大才能呼风唤雨—Intel要携CXL一统互联江湖了吗?_mellanox cxl_古猫先生

  29. Compute Express Link CXL 3.0 是令人兴奋的分解构建块_cxl3.0_昊源诺信

  30. 强力科普一下PCIe/CXL(Compute Express Link)_大话存储

  31. CXL协议用于片间互联 - Chxm

  32. GenZ最终还是并入了CXL_大话存储

  33. CXL初探,why CXL?__西南山村的ICer



4. 视频讲解


4.1 CXL 1.1 Technical Training

  1. CXL Overview
  2. CXL Cache Memory Transaction Layer
  3. CXL Cache Memory Link Layer
  4. CXL.IO
  5. Flex Bus Physical Layer
  6. CXL Initialization
  7. Arbitration and Protocol Muxing
  8. Compliance Testing
  9. Reset Power Management
  10. CXL ActiveLinkPM
  11. RAS

4.2 CXL 2.0 Technical Training

  1. CXL 2.0 Overview
  2. CXL 2.0 Cache Mem Transaction and Link Layer
  3. CXL 2.0 Protocol Enhancements
  4. CXL 2.0 Switching
  5. CXL 2.0 Security
  6. CXL Initialization and Memory Interface
  7. Arbitration and Protocol Muxing
  8. Flex Bus Physical Layer
  9. Compliance Testing
  10. Reset Power Management
  11. RAS

4.3 网络研讨会(含视频、PPT、问答记录)

  1. Introduction to Compute Express Link™(CXL™) [December 2019 - Webinar]
    Link2: Introduction to Compute Express Link™ (CXL™)

  2. Exploring Coherent Memory and Innovative Use Cases [March 2020 - Webinar]
    配套PPT:Exploring Coherent Memory and Innovative Use Cases [March 2020 - Presentation]
    会后问答:Questions from the Compute Express Link™ Exploring Coherent Memory and Innovative Use Cases Webinar

  3. Memory Challenges and CXL Solutions [August 2020 - Webinar]
    配套PPT:Memory Challenges and CXL Solutions [August 2020 - Presentation]
    会后问答:Questions from the Compute Express Link™ Memory Challenges and CXL™ Solutions Webinar
    介绍了Memory在容量、带宽、成本上的的趋势及挑战,介绍了CXL Memory方案,进一步介绍了CXL Type 3 Device的Memory Flow。

  4. Introducing the Compute Express Link™ 2.0 Specification [December 2020 - Webinar]
    配套PPT:Introducing the Compute Express Link™ 2.0 Specification [December 2020 - Presentation]
    会后问答:Questions from the Webinar: Introducing the Compute Express Link™ 2.0 Specification

  5. CXL 1.1 vs. CXL 2.0–What’s the difference? [June 2022 - Webinar]
    配套PPT:CXL 1.1 vs. CXL 2.0 – What’s the difference? [June 2022 - Presentation]
    会后问答:Questions from the “CXL 1.1 vs. CXL 2.0 – What’s the difference?” Webinar

  6. Compute Express Link™ (CXL™): Supporting Persistent Memory [June 2021 - Webinar]
    配套PPT:Compute Express Link™ (CXL™): Supporting Persistent Memory [June 2021 - Presentation]
    会后问答:Questions from the Compute Express Link™ (CXL™): Supporting Persistent Memory Webinar

  7. Compute Express Link™ (CXL™) Link-level Integrity and Data Encryption (CXL IDE)
    [September 2021 - Webinar]
    配套PPT:Compute Express Link™ (CXL™) Link-level Integrity and Data Encryption (CXL IDE) [September 2021 - Presentation]
    会后问答:Questions from the “Compute Express Link™ (CXL™) Link-level Integrity and Data Encryption” Webinar

  8. Introduction to the Compute Express Link™ (CXL™) Fabric Manager [March 2022 - Webinar]
    配套PPT:Introduction to the Compute Express Link™ (CXL™) Fabric Manager [March 2022 - Presentation]
    会后问答:Questions from the “Introduction to the Compute Express Link™ (CXL™) Fabric Manager” Webinar

  9. Compute Express Link™ 2.0 Specification: Memory Pooling [March 2021 - Webinar]
    配套PPT:Compute Express Link™ 2.0 Specification: Memory Pooling [March 2021 - Presentation]
    会后问答1:Compute Express Link™ (CXL™) 2.0 Specification: Memory Pooling – Questions from the Webinar Part 1
    会后问答2:CXL™ 2.0 Specification: Memory Pooling – Questions from the Webinar Part 2

  10. An Overview of the Compute Express Link™ (CXL™) 2.0 ECN [December 2021 - Webinar]
    配套PPT:An Overview of the Compute Express Link™ (CXL™) 2.0 ECN [December 2021 - Presentation]
    会后问答:Questions from the “An Overview of the Compute Express Link™ (CXL™) 2.0 ECN” Webinar

  11. CXL 3.0: Enabling composable systems with expanded fabric capabilities [October 2022 - Webinar]
    配套PPT:CXL 3.0: Enabling composable systems with expanded fabric capabilities [October 2022 - Presentation]
    会后问答:CXL 3.0 Webinar Q&A Recap

  12. A look into the CXL device ecosystem and the evolution of CXL use cases [January 2023 - Webinar]
    配套PPT:A look into the CXL device ecosystem and the evolution of CXL use cases [January 2023 - Presentation]
    会后问答:Questions from “A Look into the CXL™ Device Ecosystem and the Evolution of CXL Use Cases” Webinar


4.4 CXL @SDC

  1. SDC2020: CXL 1.1 Protocol Extensions: Review of the cache and memory protocols in CXL - YouTube
    配套PPT:Compute Express Link™ (CXL™): Memory and Cache Protocols

  2. SDC2020: Understanding Compute Express Link: A Cache-coherent Interconnect - YouTube
    Sharma简单介绍了CXL协议

  3. SDC2021: Compute Express Link 2.0: A High-Performance Interconnect for Memory Pooling - YouTube

  4. SDC2021:Innovations in Load-Store I/O Causing Profound Changes in Memory Storage & Compute Landscape - YouTube

  5. SDC2022 – Introducing CXL 3.0: Expanded Capabilities for Increased Scale and Optimized Resource Util - YouTube

  6. SDC2022 – A Persistent CXL Memory Module with DRAM Performance
    配套PPT:A Persistent CXL Memory Module DRAM Performance - SNIA SDC 2022 (storagedeveloper.org)

  7. SDC2022 – Why Should the Storage Community Care about CXL?

  8. SDC2022 – Software Defined Memory with CXL and Tiered Memory to Enable Hyperscale Use Cases

  9. SDC2022 – Memory Disaggregation and Pooling with CXL

  10. SDC2022 – Future of Persistent Memory in Form Factors Architectures with CXL

4.5 其他讲解

  1. CXL Vs. CCIX - YouTube

  2. HC34-T1: CXL - YouTube
    HotChips 2022上关于CXL的演讲,包括CXL的演进、CXL 2/0/3.0的一致性、Memory使用及挑战、Fabric及其使用等。

  3. Enfabrica: Scaling CXL Memory Using High Speed Networking - YouTube

  4. CXL and IDE: Important Considerations of Protecting High Speed Interconnects (brighttalk.com)
    Rambus关于IDE的介绍

  5. Micron: CXL 3.0 Shared Memory for a New Class of Applications - YouTube

  6. SNIA CMSS23 - Introduction to Fabrics in CXL 3.0 - YouTube

  7. Breaking the PCIe Latency Barrier with CXL (Chinese version) (brighttalk.com)
    PLDA关于CXL Latency的讲解,Cache/mem是如何来减小Latency的,Latency由哪些部分引起,等等。

  8. memory interleaving | COA - YouTube
    三姐手书细心讲解Memory Interleaving通识,图文并茂,蛮好。考验听力。

  9. Compute Express Link: Proposed Enhancements to UEFI and ACPI Specifications - YouTube

  10. SNIA PM+CS’22: CXL and UCIe - YouTube

  11. Benefits of Compute Express Link™ (CXL™) for High-Performance Computing - YouTube

  12. Introduction to CXL Multi-Headed Devices - YouTube

  13. CXL vs iomem_resource - Ben Widawsky - YouTube

  14. Compute Express Link for Heterogeneous computing Webinar Q&A - YouTube
    Part 2 of the Webinar: Compute Express Link (CXL) for Heterogeneous Computing - YouTube

  15. PCIe Refresher - Part one of Compute Express Link for Heterogeneous Computing Webinar - YouTube

  16. At-Scale Device Management Solutions for CXL Memory Device - YouTube

  17. SNIA CMSS23 - Introduction to Fabrics in CXL 3.0 - YouTube

  18. CXL Memory的RAS方案:An overview of CXL Memory expansion module Error Handling (RAS) Solution Implementation - YouTube

  19. CXL 2.0 and memory solutions - YouTube
    介绍了CXL Persistent Memory及可能的CXL Memory方案。比较了基于DIMM及基于CXL的Memory方案。

  20. CXL in Next-gen Servers Will Make Today’s Servers Obsolete - YouTube
    配套中文翻译:CXL:为缓存一致性而生的新一代总线

  21. Introduction to CXL - YouTube

  22. CXL 3.0: Novel Device Types- Capabilities- and Interconnects - YouTube

  23. SNIA CMSS23 - Server fabrics and the evolution to CXL 3.0 - YouTube

  24. CXL™ 2.0: A High-Speed Interconnect for Persistent Memory Challenges - YouTube

  25. Innovations in Memory System Architecture PIM and CXL Memory - YouTube

  26. 使用CXL技术重构数据中心_腾讯视频



5. 媒体/大咖点评


5.1 国内

  1. 2022数据与存储峰会 (datastoragesummit.com),CXL大内存论坛直播
  2. CXL大佬说(1):CXL将掀起大内存的技术潮流
  3. CXL大佬说(2):MemVerge介绍CXL的应用场景与实用价值
  4. CXL大佬说(3):三星如何用CXL突破内存瓶颈?
  5. CXL大佬说(4):澜起科技的CXL内存扩展技术简介
  6. 夏晶:聊一聊CXL
  7. 老狼:基于PCIe 5.0的CXL是什么?
  8. Zarbot: NetDAM-CXL?
  9. 澜起科技邱铮:详解CXL内存扩展技术的价值和具体解决方案
  10. 英特尔顾小宝:CXL将如何重构数据中心?
  11. MemVerge范承工:CXL正在迎来大内存的曙光
  12. MemVerge所说的大内存将如何引爆千亿美金IT新市场
  13. 三星金俊:如何用CXL内存解决方案突破内存瓶颈?
  14. 新华三李乔:AGI时代算力基础架构面临的挑战与机遇
  15. GenZ,CXL,NVLINK,OpenCAPI,CCIX乱战!
  16. 越来越热的CXL_风闻
  17. 大内存时代振奋人心的CXL技术(上)
  18. 大内存时代振奋人心的CXL技术(下)
  19. PCI-e(PCI Express)技术逐渐乏力,CXL技术迎来革命性的转变-国际电子商情
  20. AMD 宣布 5 年内导入消费级 CPU,CXL 技术潜力在哪?
  21. 揭开CXL内存的神秘面纱
  22. 英特尔放弃Optane业务,转而拥抱的CXL是什么?_内存_设备_主机 (sohu.com)
  23. 澜起科技发布全球首款CXL 内存扩展控制器芯片,该芯片都有哪些值得关注的亮点?

5.2 外媒

  1. May 16, 2023: How CXL 3.0 technology will affect enterprise storage
  2. April 9, 2023: How DPUs, IPUs, and CXL Can Improve Data Center Power Efficiency
  3. March 23, 2023: A Game-Changing Approach, New Mergers and a Disruptive Technology with Siamak Tavallaei from the CXL Consortium
  4. March 20, 2023: The History and Future of CXL with Jim Pappas | Utilizing Tech 4×20
  5. February 22, 2023: DesignCon 2023 A Hotbed for Technology Discussion
  6. February 16, 2023: Memory At The 2023 Designcon
  7. February 6, 2023: How the CXL Consortium is Driving Adoption with Siamak Tavallaei | Utilizing Tech 4×14
  8. January 4, 2023: SNIA Spec Gets Data Moving in CXL Environment - EE Times
  9. November 14, 2022: HPCwire Reveals Winners of the 2022 Readers’ and Editors’ Choice Awards During SC22 – HPCwire
  10. November 10, 2022: CXL Consortium Set to Present and Showcase Technology Demonstrations at SC’22 in Dallas, TX – HPCwire
  11. October 31, 2022: A primer on CXL technology uses – SearchStorage
  12. September 23, 2022: CXL Spec Grows, Absorbs Others to Collate Ecosystem – EE Times
  13. September 21, 2022: CXL Ecosystem Enabling Memory Fabrics – Forbes
  14. August 24, 2022: What’s the Difference Between CXL 1.1 and CXL 2.0? – ElectronicDesign
  15. August 5, 2022: Flash memory vendors unveil PCIe 5.0 SSDs, latest spec for CXL interconnect tech – The Register
  16. August 4, 2022: Why you should start paying attention to CXL now – The Register
  17. August 4, 2022: Compute Express Link CXL 3.0 is the Exciting Building Block for Disaggregation – ServeTheHome
  18. August 2, 2022: Compute Express Link (CXL) 3.0 Announced: Doubled Speeds and Flexible Fabrics – Anandtech
  19. August 2, 2022: CXL Brings Datacenter-sized Computing with 3.0 Standard, Thinks Ahead to 4.0 – HPCwire
  20. August 1, 2022: OpenCAPI to Be Folded into CXL – HPCwire
  21. July 21, 2022: Four key need-to-knows about CXL - ComputerWeekly
  22. May 16, 2022: How CXL may change the datacenter as we know it - The Register
  23. May 12, 2022: One Memory to Rule Them All: The Rise of CXL - Embedded Computing Design
  24. April 12, 2022: Choosing The Right Server Interface Architectures For High Performance Computing - Semiconductor Engineering
  25. January 19, 2022: Virtual Roundtable On Storage And Memory - Forbes
  26. January 5, 2022: Top 5 Data Center Tech to Watch in 2022 - SDxCentral
  27. December 24, 2021: Data centre disaggregation with Gen-Z and CXL - Gazettabyte
  28. December 10, 2021: CXL Put Through Its Paces - EE Times
  29. December 9, 2021: CXL Will Absorb Gen-Z - EE Times
  30. December 7, 2021: Intel Sapphire Rapids CXL with Emmitsburg PCH Shown at SC21 – Serve the Home
  31. November 28, 2021: Top 10 Showcases at Supercomputing 2021–Serve the Home
  32. November 23, 2021: Finally, A Coherent Interconnect Strategy: CXL Absorbs Gen-Z–The Next Platform
  33. November 15, 2021: CXL Consortium Showcases First Public Demonstrations of Compute Express Link Technology at SC21–HPCwire
  34. November 15, 2021: CXL Consortium at SC21: 1st Public Demo of Compute Express Link–insideHPC
  35. November 11, 2021: And so it comes to pass: Gen-Z will be folded into CXL–Blocks & Files
  36. November 11, 2021: Big Memory Needs Software-defined Memory–DCIG
  37. August 6, 2021: Planning for Servers in 2022 and Beyond Series–Serve the Home
  38. August 5, 2021: How the CXL interconnect will affect enterprise storage–Tech Target
  39. May 21, 2021: Compute Express Link or CXL What it is and Examples–Serve the Home
  40. May 11, 2021: CXL: Coherency, Memory, and I/O Semantics on PCIe Infrastructure–Electronic Design
  41. April 1, 2021: Safeguarding Data Over PCIe & CXL in Data Centers–Semiconductor Engineering
  42. March 11, 2021: Domain-Specific Memory – Semiconductor Engineering
  43. January 25, 2021: CXL gathers speed with 2.0 spec–EE Times
  44. November 10, 2020: Compute Express Link CXL 2.0 Specification Released the Big One–ServeTheHome
  45. November 10, 2020: Compute eXpress Link 2.0 (CXL 2.0) Finalized: Switching, PMEM, Security–AnandTech
  46. August 20, 2020: New CXL interconnect promises to move data faster, more efficiently at 32 GT/s–VentureBeat
  47. May 18, 2020: CXL Protocol Adds Capabilities over PCIe–EETimes
  48. April 8, 2020: CXL and Gen-Z Lay Borders with a Formal MOU We Talk Impact – ServeTheHome
  49. April 5, 2020: Memory-Centric Architectures With Gen-Z And CXL Alliance – Forbes
  50. April 3, 2020: CXL and Gen-Z Iron Out A Coherent Interconnect Strategy – The Next Platform
  51. September 27, 2019: CXL Enables Heterogenous Computing – Forbes
  52. September 20, 2019: CXL Consortium Formally Incorporated, Gets New Board Members & CXL 1.1 Specification – AnandTech
  53. September 11, 2019: ARM Joins CXL Consortium – HPCWire
  54. July 19, 2019: AMD Joins CXL Consortium: Playing in All The Interconnects – AnandTech
  55. April 15, 2019: Compute Express Link (CXL): From Nine Members to Thirty Three – AnandTech
  56. March 19, 2019: How are faster networks advancing the next generation of data centres? – Cloud Computing
  57. March 14, 2019: CXL Consortium Launches CPU-to-Anything High Speed Interconnect Protocol – HPCWire
  58. March 14, 2019: Tech Giants To Team Up For Boosting CXL Consortium Data Centre Performance – Analytics Insight
  59. March 13, 2019: Intel, Google and others join forces for CXL interconnect – Data Center Dynamics
  60. March 12, 2019: Industry Heavyweights Get Behind Data Centre Optimisation Effort For Artificial Intelligence – Data Economy
  61. Finally, A Coherent Interconnect Strategy: CXL Absorbs Gen-Z (nextplatform.com)


6. 业界反应/探索


6.1 方案介绍

  1. March 9, 2023: Enabling New Server Architectures With the CXL Interconnect
  2. May 3, 2022: Composable Memory within CXL 2.0 Protocol Shown by Liqid, Samsung, Tanzanite
  3. November 5, 2021: Heterogeneous Computing Is About Optimizing Resources
  4. October 6, 2021: CXL and the Tiered-Memory Future of Servers
  5. September 29, 2021: CXL Ushers in a New Era of Data-Center Architecture
  6. September 7, 2021: The CXL Roadmap Opens Up the Memory Hierarchy
  7. August 18, 2021: Intel Hot Interconnects 2021 CXL Keynote Coverage
  8. July 16, 2021: CXL Product Pipeline Gets Flowing
  9. July 12, 2021: Securing Server Systems And Data At The Hardware Level
  10. July 8, 2021: CXL Signals A New Era Of Data Center Architecture
  11. July 8, 2021: How CXL is Changing the Data Center
  12. June 12, 2021: Tear Down These Walls: How CXL Could Reinvent the Data Center
  13. SMT:利用CXL内存扩展器对异构计算系统进行软件定义的内存分层
  14. 利用CXL内存对数据分析平台进行系统优化
  15. 英特尔放弃同时封装CPU、GPU、内存计划
  16. 第四代英特尔至强可扩展处理器支持CXL 1.1,但没完全支持
  17. 英特尔发布首款支持PCIe5.0和CXL功能的FPGA,帮助客户快速部署定制化技术_天极网 (yesky.com)
  18. 三星CXL(DDR5 on EDSFF)内存更新
  19. 三星推出512GB 内存扩展器CXL DRAM | 三星半导体官网 (samsung.com)
  20. 三星电子研发出其首款支持CXL 2.0的CXL DRAM
  21. SSD新范式 | CXL咋样了?看看新一代x86服务器平台的支持情况
  22. COMPUTEX 2023:威刚展示下一代内存模块,包括CAMM、CXL和MR-DIMM|闪存|服务器|dell
  23. MICRO’23 CXL动态内存池
  24. 澜起科技PCIe 5.0/CXL 2.0 Retimer芯片成功实现量产
  25. 戴尔科技集团新一代服务器CXL 助力企业数据中心内存层降低成本
  26. 【玖越机器人】CXL 3.0面世,服务器开放互联标准之争落下帷幕
  27. 【玖越机器人】正在为服务器市场创造数十亿价值的CXL
  28. SK 海力士开发出 DDR5 DRAM CXL 存储器样品,该产品性能如何?
  29. 新思科技推出业界首个CXL 2.0 VIP解决方案
  30. Tanzanite 展示业界首款基于 CXL 的内存扩展和内存池产品
  31. 美光退出3DXpoint,押宝CXL,将是其未来关键
  32. CXL SSD: I/O 性能飞跃之路

6.2 CXL Demo演示

  1. CXL Memory Pooling Demo - YouTube
    Memory Poolling的Demo,现场演示了,2CPU with 2 Memory Device,没听出来是基于Switch还是直连。

  2. CXL 2.0 End-to-End System Demonstration (Including CXL-enabled CPUs, a CXL Switch, Memory Expanders) - YouTube
    这个Demo上了CXL 2.0 Switch,静态展示。

  3. CXL Fabric的Demo:CXL Fabric Adaptor Bridge Demo - IntelliProp - YouTube

  4. Astera Labs Aries CXL™ Smart Retimers: Enabling Robust CXL Connectivity for Intel Sapphire Rapids CPU-base Systems with Synopsys DesignWare CXL Controller IP - Astera Labs

  5. Cadence IP for CXL Interop Demonstration - Cadence

  6. Proof of Concept: Memory Disaggregation Local, Expanded, and Remote Memory - Elastics.cloud

  7. GigaIO: The Future of Composability with CXL - GigaIO

  8. CXL Fabric Adaptor Bridge Demo - IntelliProp

  9. CXL Type 3 Memory Device Demo - Meta

  10. CXL™ IP/FPGA Platforms & Interoperability - Mobiveil

  11. MXC + Retimer Video - Montage Technology

  12. Demonstration of a CXL Interconnect on a FPGA-based Design - Rambus

  13. Functional Integration of SAP HANA In-Memory-Database on Samsung’s CXL Memory Expander - Samsung

  14. Synopsys DesignWare CXL IP Showing Successful Data Transfer Using a Teledyne LeCroy CXL Analyzer - Synopsys

  15. CXL Compliance Demonstration - Teledyne LeCroy

  16. AMD SEV Enabled Confidential Containers on CXL Encrypted Memory

  17. Astera Labs Dallas V4 With LT 19382

  18. UnifabriX SC-22 CXL-based Smart Memory Node ™ product demo at SC-22

  19. IntelliProp - Disaggregated and Composable CXL attached Memory Fabric

  20. CXL Memory Expander: Expanding memory, expanding possibilities | Samsung

  21. Elastics.cloud Rack-Scale Memory Pooling with CXL

  22. Synopsys CXL™ 2.0 IP Successful Interoperability and Compliance Testing



7. 其他相关资源


7.1 Gen-Z Archive

  1. Gen-Z Core Specification 1.1e
  2. Gen-Z Phy Specification 1.1
  3. Gen-Z Fabric Management Specification 1.0

7.2 OpenCAPI Archive

  1. OpenCAPI Archive | Compute Express Link


— END —

🔥 精选往期 PCIe 协议系列文章,请查看【 PCIe 专栏】🔥

⬆️ 返回顶部 ⬆️文章来源地址https://www.toymoban.com/news/detail-495293.html

到了这里,关于【CXL】学习资源整理的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • ChatGPT 话题相关和类 ChatGPT 工具 | 优质文章、相关论文、应用、学习资源整理

    🍉 CSDN 叶庭云 : https://yetingyun.blog.csdn.net/ 人工智能与手机和互联网一样具有革命性。 2023 年已经过去一半,ChatGPT 在今年以来一直备受瞩目。目前 ChatGPT 的更新速度逐渐放缓,热度有所减退,但它的发展和应用仍在继续。这篇博客旨在整理 ChatGPT 话题相关的信息和类 ChatGP

    2024年02月11日
    浏览(50)
  • 【Unity开发必备】100多个 Unity 学习网址 资源 收藏整理大全【持续更新】

    众所周知,工欲善其事必先利其器,有一个好的工具可以让我们事半功倍,有一个好用的网站更是如此! 但是好用的网站真的太多了,收藏夹都满满的(但是几乎没打开用过😁)。 所以本文是对Unity相关的网站进行一个资源整合,可以让我们更方便的去学习Unity的更多知识内容

    2024年02月16日
    浏览(42)
  • 从手工测试转型自动化测试,待遇翻倍,熬夜7天整理这一份超全学习指南【附网盘资源】

    因为我经常在分享自动化测试技术,最近被问到: 功能测试想转自动化,请问应该怎么入手?有没有好的资源推荐? 那么,接下来我就结合自己的经历聊一聊我是如何在工作中做自动化测试的。学习路线和网盘资源放在文末了。(因为详细所以篇幅很长,建议收藏) 测试新

    2024年04月25日
    浏览(34)
  • 【学习资源】C#初学者学习资源推荐

    大家好,这是笔者自己自行整理的C#学习资源推荐,包含 网站、书籍和社区 ,方便自己学习的同时分享出来,希望可以帮到大家谢谢。 首先笔者最推荐的当然是我们微软 官方的学习文档 :官方C#文档, 众所周知官方的文档永远是最全面的,最权威的,更新最及时的。而其它

    2024年02月05日
    浏览(86)
  • 3dMax全球学习资源、资源文件和教程 !

    此样例教育教程和学习资源旨在提供使用Autodesk 3ds Max时的计划知识和培训、正确的工作流、流程管理和最佳实践。 您在Autodesk三维设计领域的职业生涯 有关使用3ds Max和Maya在计算机图形领域开始职业生涯的提示(包括新的3ds Max和Maya介绍教程,以复习您的技能) 确保3ds Max场

    2024年02月09日
    浏览(26)
  • 【学习资源】终身机器学习之增量学习

    从机器学习存在的问题谈起,介绍增量学习可以解决怎样的问题,增量学习的类别,实现增量学习的方法,增量学习的评价指标和常用数据集,类别增量学习典型方法和代码库以及参考资源,希望能帮助大家用增量学习提高图像分类、对象检测、语义分割、行为识别、对象重

    2023年04月27日
    浏览(32)
  • AIOPS学习资源

    2024年02月06日
    浏览(25)
  • CTF学习资源

    网址:https://buuoj.cn/challenges 答案是flag{admin1} 下面的字符串解密后便能获得flag: ZmxhZ3tUSEVfRkxBR19PRl9USElTX1NUUklOR30= 注意:得到的 flag 请包上 flag{} 提交 显然是base64加密 flag{THE_FLAG_OF_THIS_STRING} flag{and 1=1} synt{5pq1004q-86n5-46q8-o720-oro5on0417r1} 凯撒密码,13位 A换成N、B换成O、依此类推到

    2024年02月10日
    浏览(23)
  • python学习资源及路线

    python 代码调试软件 Python各类图像库的图片读写方式总结 Python学习手册 笔记 python_tutorials 教程 较全 待整合 python实现的全部算法 教程 以撸代码的形式学习Python 鱼C 零基础入门学习Python 鱼C 《极客首选之Python》 Python抓取股票信息 关于Python的面试题 Python语言特性 操作系统 数

    2024年02月12日
    浏览(27)
  • 机器学习 深度学习资料 资源machine learning

    Kaggle入门,看这一篇就够了 - 知乎 (zhihu.com) https://zhuanlan.zhihu.com/p/25686876 day1-1.什么是机器学习_哔哩哔哩_bilibili day1-1.什么是机器学习是10天学会机器学习从入门到深度学习的第1集视频,该合集共计62集,视频收藏或关注UP主,及时了解更多相关视频内容。 https://www.bilibili.com

    2024年02月21日
    浏览(39)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包