verdi基本操作

这篇具有很好参考价值的文章主要介绍了verdi基本操作。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

1. 生成波形:要VCS  与 verdi 联合仿真,需要在tb_top中加入如下代码,否则不会生成波形文件

   `ifdef FSDB
        initial begin
            $fsdbDumpfile("wave.fsdb");
            $fsdbDumpvars;
        end
    `endif

2. Verdi基本操作(大写:shift+)

g    get, signlas添加信号,显示波形
n    next, Search Forward选定信号按指定的值(上升沿,下降沿,both,指定Value)向前跳转
N    n功能相同,方向向后

y    Keep Cursor at Centor(开关)移至中央并保持居中,再按取消固定居中

c    color,调整所选信号的波形显示颜色,线的粗细和类型,非常方便Debug

z    Zoom Out波形缩小,一般配合鼠标放大非常方便

Z    Zoom In 波形放大

f    full, Zoom All波形全部显示

h    hierarchy显示信号的绝对路径

m    move将信号移动到黄线位置

L    重新加载波形或设计文件,这个很方便,在新一次仿真完成之后Roload即可

M  : 波形标记

在代码窗口,单击选中信号,此时处于高亮状态,按Ctrl+w,可以将信号发送到波形窗口

3、鼠标:

        左键:用于选择信号

        右键:调出菜单

        中间:单击移动黄线,拖动信号可移动位置排列顺序

        滑轮:上下滚屏

        左键圈定波形范围:按选定缩放

        双击信号波形: 跳转到代码,并用绿色高亮该信号

        双击信号:按位展开(expand),Struct展开下一层。

        再双击折叠(collapse)右键信号名->Bus Operations->Expand as Sub-bus->可以按指定位宽展开,比如512bits的信号分成4个128的,方便查看

4、使用Verdi查看Delta Cycle的方法:

        命令行中加入命令一起仿真:+fsdb+delta

verdi基本操作

 文章来源地址https://www.toymoban.com/news/detail-495909.html

        打开波形,首先鼠标左键选择需要展开的时间点,然后按下图所示的选项展开这个时间点,可以看到在这个时间点上,信号变化的先后顺序。

verdi基本操作

 

到了这里,关于verdi基本操作的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包