Verilog | 卷积码实现

这篇具有很好参考价值的文章主要介绍了Verilog | 卷积码实现。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一、卷积码(convolution code)

卷积码是一种差错控制编码,由P.Elias于1955年发明。因为数据与二进制多项式滑动相关故称卷积码。卷积码在通信系统中应用广泛,如IS-95,TD-SCDMA,WCDMA,IEEE 802.11及卫星等系统中均使用了卷积码。以(n,k,m)或者(n,k,L)来描述卷积码,其中k为每次输入到卷积编码器的bit数,n为每个k元组码字对应的卷积码输出n元组码字,m为编码存储度,也就是卷积编码器的k元组的级数,称m+1= L为编码约束度m称为约束长度。卷积码将k元组输入码元编成n元组输出码元,但k和n通常很小,特别适合以串行形式进行传输,时延小。与分组码不同,卷积码编码生成的n元组元不仅与当前输入的k元组有关,还与前面m-1个输入的k元组有关,编码过程中互相关联的码元个数为n*m。卷积码的纠错性能随m的增加而增大,而差错率随N的增加而指数下降。在编码器复杂性相同的情况下,卷积码的性能优于分组码。

当输入信息比特数为 1 位,约束长度为 K 时,可以画出卷积编码器如图所示:

Verilog | 卷积码实现

卷积码可以用解析式表示法、图形表示法等方式来表示,而图形表示法又可分为状态图、树图、网络图等方式。

其中最重要的就是网络图。

Verilog | 卷积码实现

网络图横坐标表示时刻纵坐标表示状态,状态数与约束长度相关。实线表示输入 0 所走分支,虚线表示输入 1 所走分支,线上的数字表示输出的码字。任意给定一个信息序列,网络图中就存在一条特定的路径。这就是研究卷积码最大似然译码维特比算法的重要工具。

通常卷积码编码器开始工作前都要进行初始化,按编码器的初始状态的不同可以分为两类:

末尾补零卷积码(Tail-bits):

通常卷积码编码器开始工作时都要进行初始化,编码开始前将编码器的所有寄存器单元都进行清零处理。而在编码结束时,需要添加0到码流末尾(Tailed Termination),使编码器状态归零, 这即是末尾补零卷积码。相对于编码比特而言,添加的末尾比特增加了编码开销。

咬尾卷积码(Tail biting):

咬尾卷积编码是一种特殊的卷积编码,它通过将编码器的移位寄存器的初始值设置为输入流的末尾比特值,使得移位寄存器的初始和最终状态相同。编码器开始工作时要进行特殊的初始化,将输入信息比特的最后m个比特依次输入编码器的寄存器中,当编码结束时,编码器的结束状态与初始状态相同。由于这个编码方法没有出现尾比特,因此称为咬尾编码。 咬尾编码减少了尾比特的编码开销。对于咬尾编码方法,在译码过程中,由于编码器的初始状态和结尾状态是未知的,因此就需要增加一定的译码复杂度,才能确保好的译码性能。和普通的卷积编码相比,咬尾的方案最大的优点是克服了编码时的码率损失,并且适合迭代译码,不过付出的代价是译码复杂度的增加。

二、代码实现

//使用末尾补零卷积码的编码方式,代码还不够简洁,使用移位寄存器或许会更好一些,,,

/******************************************************/
	module viterbi_encode9(X,Y,Clock,Reset); 
/******************************************************/

input X, Clock, Reset;

output [1:0] Y; 

wire [1:0] Yt;
wire X, Clock, Reset;

wire [8:0] PolyA, PolyB;
wire [8:0] wA, wB, ShReg;

//   assign   PolyA = 9'b111_101_011;
//   assign   PolyB = 9'b101_110_001;

   assign   PolyA = 9'b110_101_111;
   assign   PolyB = 9'b100_011_101;

   assign wA = PolyA & ShReg;
   assign wB = PolyB & ShReg;

   assign ShReg[8] = X;
   pDFF dff7(ShReg[8], ShReg[7], Clock, Reset);
   pDFF dff6(ShReg[7], ShReg[6], Clock, Reset);   
   pDFF dff5(ShReg[6], ShReg[5], Clock, Reset);
   pDFF dff4(ShReg[5], ShReg[4], Clock, Reset);
   pDFF dff3(ShReg[4], ShReg[3], Clock, Reset);
   pDFF dff2(ShReg[3], ShReg[2], Clock, Reset);
   pDFF dff1(ShReg[2], ShReg[1], Clock, Reset);
   pDFF dff0(ShReg[1], ShReg[0], Clock, Reset);

   assign Yt[1] = wA[0] ^ wA[1] ^ wA[2] ^ wA[3] ^ wA[4] ^ wA[5] ^ wA[6] ^ wA[7] ^ wA[8];
   assign Yt[0] = wB[0] ^ wB[1] ^ wB[2] ^ wB[3] ^ wB[4] ^ wB[5] ^ wB[6] ^ wB[7] ^ wB[8];

   pDFF dffy1(Yt[1], Y[1], Clock, Reset);
   pDFF dffy0(Yt[0], Y[0], Clock, Reset);
endmodule

/******************************************************/
	module pDFF(DATA,QOUT,CLOCK,RESET);
/******************************************************/

parameter WIDTH = 1; 

input [WIDTH-1:0] DATA;
input CLOCK, RESET;

output [WIDTH-1:0] QOUT;

reg [WIDTH-1:0] QOUT;

   always @(posedge CLOCK or negedge RESET)
      if (~RESET) QOUT <= 0; //active low reset
         else QOUT <= DATA;

endmodule

参考:

维特比译码器(Viterbi Decoder)硬件架构(一)–卷积码及编解码算法介绍

Encoding/Decoding - Presentation of Convolutional Code文章来源地址https://www.toymoban.com/news/detail-497246.html

到了这里,关于Verilog | 卷积码实现的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 行列可分离卷积 separable convolution

    概述 推导 知识沙漠中的一点扩展 如有纰漏错误,恳请指正:D 行列可分离卷积(separable convolution)主要应用于图像处理算法中,用于将一遍2D离散卷积(也称滤波,下文交替使用)操作分离成2遍1D卷积操作。如果图像像素数为 m m m ,卷积核(也称卷积模板、模板)大小为 k ∗ k k*k k ∗

    2024年02月03日
    浏览(24)
  • Convolutional Neural network(卷积神经网络)

    目录 Why CNN for Image? The whole CNN structure  Convolution(卷积) Max Pooling Flatten  CNN in Keras  What does CNN learn? what does filter do what does neuron do  what about output  Deep Dream Application Playing Go Speech  Text 当我们直接用一般的fully connected的feedforward network来做图像处理的时候,往往会需要太多

    2024年02月05日
    浏览(31)
  • TCN(Temporal Convolutional Network,时间卷积网络)

            实验表明,RNN 在几乎所有的序列问题上都有良好表现,包括语音/文本识别、机器翻译、手写体识别、序列数据分析(预测)等。         在实际应用中,RNN 在内部设计上存在一个严重的问题: 由于网络一次只能处理一个时间步长,后一步必须等前一步处理完才能

    2024年02月01日
    浏览(28)
  • 【论文笔记】动态蛇卷积(Dynamic Snake Convolution)

    精确分割拓扑管状结构例如血管和道路,对医疗各个领域至关重要,可确保下游任务的准确性和效率。然而许多因素使分割任务变得复杂,包括细小脆弱的局部结构和复杂多变的全局形态。针对这个问题,作者提出了动态蛇卷积,该结构在管状分割任务上获得了极好的性能。

    2024年02月03日
    浏览(28)
  • 【论文笔记合集】卷积神经网络之深度可分离卷积(Depthwise Separable Convolution)

    本文作者: slience_me 我看的论文地址:MobileNets: Efficient Convolutional Neural Networks for Mobile Vision Applications 假设输入为D F ×D F ×M,输出为输入为D F ×D F ×N,卷积核为D K ×D K ×M,共有N个卷积核进行卷积操作 下图为标准的卷积过程,每个卷积核对输入的向量进行卷积操作,得到一个

    2024年01月16日
    浏览(29)
  • 卷积神经网络CNN(Convolutional Neural Network)

    一、CNN与NN的区别 卷积神经网络与传统神经网络的区别: 二、CNN的整体架构 1.输入层;2.卷积层;3.池化层;4.全连接层 三、卷积层做了什么 首先将图形分割成一个个小区域,对于每一个区域特征不同;接下来选择一种特征计算的方法,为每一个区域计算特征值,得到特征图

    2024年02月04日
    浏览(59)
  • AIGC实战——卷积神经网络(Convolutional Neural Network, CNN)

    在深度学习一节中,我们使用 Keras

    2024年02月04日
    浏览(39)
  • 一种基于FPGA的TCP乱序重排算法,并通过Verilog语言进行了实现

    基于fpga的tcp乱序重排算法实现,通过verilog实现适用于fpga的tcp乱序重排算法,并通过实际数据测试验证。 代码里包含注释,可以明白每个模块的含义。 采用自创的乱序重排算法,易于在硬件中实现。 该算法和工程可用于实际应用、算法设计、研究学习。 提供测试用的抓包文

    2024年02月05日
    浏览(40)
  • m基于FPGA的RS+卷积级联编译码实现,RS用IP核实现,卷积用verilog实现,包含testbench测试文件

    目录 1.算法仿真效果 2.算法涉及理论知识概要 2.1 卷积码编码 2.2 RS码编码 2.3 级联编码 2.4 解码过程 3.Verilog核心程序 4.完整算法代码文件获得 Vivado2019.2仿真结果如下:         级联码是一种通过将两种或多种纠错码结合使用来提高纠错能力的编码方案。在RS+卷积级联编码中,

    2024年02月22日
    浏览(38)
  • 改进YOLO系列 | YOLOv5/v7 引入 Dynamic Snake Convolution | 动态蛇形卷积

    准确分割拓扑管状结构,如血管和道路,在各个领域中至关重要,可以确保下游任务的准确性和效率。然而,许多因素使任务复杂化,包括细小的局部结构和可变的全局形态。在这项工作中,我们注意到管状结构的特殊性,并利用这一知识来引导我们的DSCNet,以在三个阶段同

    2024年02月07日
    浏览(29)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包