Multisim实现D触发器模拟异步计数器

这篇具有很好参考价值的文章主要介绍了Multisim实现D触发器模拟异步计数器。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一. 元器件介绍

这里用到的元器件有:

  1. DIgital power(VCC)数字电源
  2. DIGITAL-CLOCK 数字时钟
  3. 逻辑分析仪(XLA)
  4. ground 数字地
  5. SPST 单刀单掷开关
  6. 74HC74D_4V D触发器芯片
  7. DCD_HEX 数码管(带译码器四位二进制输入)
  8. PROBE 电位探测灯
  9. PB_DPST 弹簧式触发开关
  10. SPDT 单刀双掷开关

二. 原理分析

先来说说D触发器的原理:
74HC74D_4V D触发器芯片有六个:D输入、Preset输入、Clear输入、 Q \mathsf{Q} Q输出、 Q ‾ \overline{\mathsf{Q}} Q输出、Clock时钟输入

Multisim实现D触发器模拟异步计数器
图中对应输入输出电平值:
D C L K ‾ \overline{\mathsf{CLK}} CLK Q \mathsf{Q} Q Q ‾ \overline{\mathsf{Q}} Q
0 0 1
1 1 0

↓ = clock transition HIGH to LOW


先来纠正一个错误:

图中74HC74D_4V D触发器芯片为下降沿触发
可实际上查阅相关芯片信息,描述为

the 74hc74 are dual posiN/Ave edge triggered d-type flip-flop.

依据74HC74D数据手册(及实验所得结果)
74HC74D数据手册
表示该芯片实际上为上升沿触发,这就是为什么看到逻辑分析仪上的信号跳变为上升沿跳变

实际74HC74D逻辑符号为:
Multisim实现D触发器模拟异步计数器
可以看到时钟输入为上升沿触发

据此

此芯片的实际真值表:
D CLK Q \mathsf{Q} Q Q ‾ \overline{\mathsf{Q}} Q
0 0 1
1 1 0

↑ = clock transition LOW to HIGH

D触发器模拟计数器的原理为:
D触发器翻转输入信号并向下传递
每向下传递一个信号值实现一次二进制的进位
将每一位输出信号输出作为二进制计数值
其结构上和分频器完全一致,不过是同一种电路下实现的两种不同功能

关于D触发器模拟分频器计数器的异同:

(1)分频器实现的是将输入时钟频率二分频
(2)计数器实现的是将每一个输出信号依输出顺序作为二进制数的低位至高位(或高位至低位)

即:
(1)分频器关注的是时间概念
(2)计数器关注的是输入输出信号

计数器的原理:

  1. 每当有高电平输入时钟信号端时,依据D触发器真值表,D触发器 Q ‾ \overline{\mathsf{Q}} Q输出D输入信号的相反值,实现输入信号的翻转,同时将 Q ‾ \overline{\mathsf{Q}} Q输出信号接入到D输入端上,用以实现进位(通过翻转实现二进制的进位,这是D触发器模拟计数器的核心),而输出信号记录为一位二进制数
  2. 根据D触发器的个数,定义输出二进制数的位数,由D触发器先输出的数值对应为二进制数低位,后输出的数值对应为二进制数的高位
  3. 当计数到最高位即所有引脚输入都为高电平时,下一刻,输入时钟信号使D触发器输出信号全部翻转为0,回到初始态,实现循环计数

单个D触发器在模拟计数器的过程中充当进位器

数码管原理:

  1. DCD_HEX 数码管显示
  2. SEVEN_SEG 七段数码管显示

本仿真实验使用 DCD_HEX 数码管简化电路


三. 仿真实验

1. 单步触发计数器

需要快速作图的小伙伴直接copy下方图片中的接线即可
以下图实现三位二进制数计数器为例

Multisim实现D触发器模拟异步计数器

接线:

  1. Preset输入、Clear输入同时接入高电平使该引脚失效
  2. D输入接 Q ‾ \overline{\mathsf{Q}} Q输出实现沿时钟上升沿翻转实现数值进位
  3. 时钟端接入 PB_DPST 弹簧式触发开关另一端接到VCC,浮空接地
    (注意弹簧式触发按钮必须满足至少有三个引脚接入电路,否则会报错)
  4. 输出 Q \mathsf{Q} Q接入数码管LSB(least significant bit)最低位输入端
    如下图实现一位二进制数进位

Multisim实现D触发器模拟异步计数器
将两个D触发器组合在一起实现两位二进制输入的一位计数器
注意Q输出按顺序接入数码管引脚
Multisim实现D触发器模拟异步计数器
最后将三个D触发器结合在一起实现一个完整的数字由0-7计数的一位计数器

过程分析:
Multisim实现D触发器模拟异步计数器
绿灯接入第一个D触发器 || 对应最低位二进制数(LSB)
橙灯接入第二个D触发器 || 对应第二位二进制数
红灯接入第三个D触发器 || 对应最高位二进制数(MSB)

灯亮起代表此线上输出电位为高电平,由此分析输出D触发器实现进位的作用过程


2. 沿时钟计数器

对于沿时钟计数的计数器
同样可以由D触发器模拟

原理不变,将PB_DPST弹簧式触发按键更改为数字时钟信号源
调整合适的输入信号频率
将输出接入逻辑分析仪进行分析

Multisim实现D触发器模拟异步计数器

注意设置合适的数字时钟频率以及逻辑分析仪接受频率以显示合适的图像
(该仿真实验中选择数字时钟频率为10HZ,接受时钟频率为20HZ,显示时钟格数为2-4最适)

逻辑分析仪显示如下图
Multisim实现D触发器模拟异步计数器
可以发现输出信号和分频器的作用下输出的信号完全一致


希望能够帮到迷途之中的你,知识有限,如有学术错误请及时指正,感谢大家的阅读文章来源地址https://www.toymoban.com/news/detail-497298.html

(^^)/▽ ▽\(^^)

到了这里,关于Multisim实现D触发器模拟异步计数器的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【FPGA】Verilog:时序电路 | 触发器电路 | 上升沿触发 | 同步置位 | 异步置位

    前言: 本章内容主要是演示Vivado下利用Verilog语言进行电路设计、仿真、综合和下载 示例:触发器电路    ​ 功能特性: 采用 Xilinx Artix-7 XC7A35T芯片  配置方式:USB-JTAG/SPI Flash 高达100MHz 的内部时钟速度  存储器:2Mbit SRAM   N25Q064A SPI Flash(样图旧款为N25Q032A) 通用IO:Sw

    2024年02月11日
    浏览(27)
  • 数字电路和模拟电路-8触发器

    前言: 掌握锁存器原理及应用 基本SR锁存器 钟控SR锁存器 钟控D锁存器 钟控D锁存器的动态参数 掌握触发器原理及应用 主从触发器 维持阻塞触发器 其它功能的触发器 目录 一、基本SR锁存器 1、双稳态电路(Bistate Elements) 2、由或非门构成的基本SR锁存器 3、由与非门构成的基

    2024年02月04日
    浏览(29)
  • 【FGPA】Verilog:JK 触发器 | D 触发器 | T 触发器 | D 触发器的实现

    0x00 JK 触发器 JK 触发器是 RS 触发器和 T 触发器的组合,有两个输入端 J 和 K,如果两个输入端都等于 1,则将当前值反转。 行为表

    2024年02月05日
    浏览(36)
  • Verilog设计实现D触发器与JK触发器

    题目:         用Verilog实现以下电路:                 1. 带复位端的正边沿触发的D触发器;                 2.带复位端的正边沿触发的JK触发器。 包括sys_clk,复位信号sys_rst_n,输入信号key_in以及输出信号led_out; 采用行为级描述: testbench仿真代码编写:

    2024年04月28日
    浏览(68)
  • 使用D触发器实现8分频

    最近闲来无聊玩了一阵子FPGA,其中遇到一个经典的问题,就是用verilog实现8分频器。发现自己并不是很熟练,所以就以blog的形式记录一下,同时也分享给大家。 所需要的前提条件:由verilog语言基础,知道D触发器的逻辑 要知道,几乎所有的编程语言都由模块化的思想包含在

    2024年02月11日
    浏览(34)
  • (91)Verilog实现D触发器

    1)目录 2)FPGA简介 3)Verilog HDL简介 4)Verilog实现D触发器 5)结语 FPGA(Field Programmable Gate Array)是在PAL、GAL等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路

    2024年02月04日
    浏览(27)
  • FPGA开发——VHDL实现各类触发器

    小编最近在学习时序电路的VHDL设计,通过此文对触发器和VHDL相关知识进行总结,以便日后进行复习、查阅。本文首先回顾了各类触发器的基本知识包括特性方程、状态图等,最后通过VHDL来实现各类触发器。 在实际的数字系统中往往包含大量的存储单元,而且经常要求他们在

    2024年02月04日
    浏览(36)
  • Quartus 实现 D 触发器及时序仿真

    目录 Quartus 实现 D 触发器及时序仿真 一.Quartus 输入原理图及时序仿真 1.创建工程 2.创建方框文件 3.编译原理图文件 4.创建 vwm 格式波形文件 5.时序波形仿真 二.用 Verilog 语言实现 D 触发器及时序仿真 1.编写Verilog 文件 2.查看生成的电路图 3.利用 Verilog 语言编写测试代码实现时序

    2024年02月04日
    浏览(34)
  • 例化4个JK触发器实现4位计数

            闲来无事打个嵌入式校赛玩玩,旨在用FPGA实现4位计数器,其功能包括上计、下计、置位、复位、暂停。         具体实现大概要先从JK触发器的功能表入手:              JK触发器在J、K两个引脚接的输入信号不同时可以分别代替SR锁存器、T触发器,这也是

    2024年02月03日
    浏览(27)
  • 实操教程 | 触发器实现 Apache DolphinScheduler 失败钉钉自动告警

    作者 | sqlboy-yuzhenc 在实际应用中,我们经常需要将特定的任务通知给特定的人,虽然 Apache DolphinScheduler 在安全中心提供了告警组和告警实例,但是配置起来相对复杂,并且还需要在定时调度时指定告警组。通过这篇文章,你将学到一个简单的方法,无需任何配置,只需要在用

    2024年02月11日
    浏览(40)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包